课程设计报告—汽车尾灯

课程设计报告—汽车尾灯
课程设计报告—汽车尾灯

课程设计任务书

学生姓名:吴舟专业班级:电子科学与技术0801班指导教师:吴友宇工作单位:信息工程学院

题目: 汽车尾灯控制器的电路设计

初始条件:

本设计既可以使用中、小规模集成电路芯片7400、7404、

74138、7476、7486和其它器件实现对汽车尾灯显示的控制功

能。本设计也可以使用单片机系统构建简易频率计。工作电源

Vcc为12V。电路组成框图如图1所示:

图1汽车尾灯控制电路图要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等

具体要求)

1、课程设计工作量:1周。

2、技术要求:设汽车尾部左右两侧各有3个指示灯(用发光管模拟),要求是:

①汽车正常行驶时,尾灯全部熄灭。

②当汽车右转弯时,右侧3个指示灯按右循顺序点亮。

③当汽车左转弯时,左侧3个指示灯按左循顺序点亮。

④临时刹车时,所有指示灯同时闪烁。

⑤选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画

出总体电路原理图,阐述基本原理。制作实际运行装置。

3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。

时间安排:

1、2010 年6 月28日集中,作课设具体实施计划与课程设计报告格式的要求说明。

2、2010 年6 月28 日,查阅相关资料,学习电路的工作原理。

2、2010 年6 月29 日至2010年6月29 日,方案选择和电路设计。

2、2010 年6月30 日至2010 年7 月1 日,电路调试和设计说明书撰写。

3、2010 年7 月2 日上交课程设计成果及报告,同时进行答辩。

课设答疑地点:鉴主13楼电子科学与技术实验室。

指导教师签名:年月日系主任(或责任教师)签名:年月日

摘要

在汽车驾驶中,为保证安全形式需要各种警示灯辅助驾驶,如:汽车在启动、转弯和刹车时,尾灯的亮灭时有一定规律的。本文通过观察设计了汽车尾灯控制器,当汽车刹车时,尾灯不停地闪烁;当汽车左转弯时,左侧的尾灯按左方向循序亮;当汽车右转弯时,右侧的尾灯按有方向循序亮。为了实现该功能本文采用AT89S52单片机和相关的外围电路的方案,论文通过对AT89S52进行编程来控制整个模型系统,再利用小按键作为触发来控制汽车的启动、转弯以及刹车,同时用发光二极管LED作为尾灯做出对应的显示,从而将实际中的汽车尾灯变化转化成了简单的模型。其中主要利用AT89S52的4个八位并行IO口,通过以接收外界的触发信号来控制其输出电平的高低,使得发光二极管LED的亮或灭。通过相关测试可得本文设计的汽车尾灯控制器满足设计要求,从而完成本次课程设计任务。

关键词:汽车尾灯;A T89S52;触发信号;LED显示

目录

1绪论 (1)

2.设计方案选择与论证 (2)

3.硬件设计 (3)

4.软件设计 (6)

5.设计电路功能测试 (8)

6.总结与体会 (9)

参考文献 (10)

附录1 (11)

附录2 (11)

附录3 (12)

1. 绪论

随着社会的不断发展,信息化和自动化已经不再是个陌生的话题。为追随社会发展的步伐,作为当代大学生,学习一些简单的系统的模拟是非常重要。因此,在各个高校的电子学科培养中,根据已知的要求设计出相应的电路的能力的锻炼不可或缺。

通过一个学期的对数字电子技术的学习,对简单的数字电路的构建有了一些了解,比如说数字电路中是二进制作为传递媒介的,因此对生活中的模拟量应做相应的转化才能与数字电路相连,从而诞生了译码器和编码器。不过这些都是最基本的知识,社会的发展步伐越来越快,这些简单的数字元件是不能满足发展的需求的,为满足社会的发展需求,集成电路应运而生,科技的不断进步,由晶体管时代到集成电路时代,从小规模集成电路到超大规模,原来很复杂的问题的现在越来越简单,可以说电子信息的发展极大的推动了社会的进步。

电子信息学科偏向实践,作为电子类的学生,为了赶上时代的步伐,当然要加强对这方面的学习,不仅如此,学校方面也给出很大的支持,如在模拟电路和数字电路学习中安排了课设,通过给出几个设计任务,让学生在有限的时间中根据自己独立的设计理念设计出符合的要求的电路,一般课程设计的课题来源于实际生活中的真实问题,这样的锻炼可以让学生将在书本上学习到的知识运用实践,而且还能以此为基础进行拓展,对创新思维的培养也是很有帮助的。

因此课程设计的开展对学习有很大的益处,就如本次课设选择的课题是“汽车尾灯控制显示电路的设计”,在设计的过程中,学会了怎样将实际问题转化成可以研究的模型,这样的转化思维的培养对于以后的对实际问题的研究有一定的帮助。在大学中需要学习的应是怎样将理论运用于实践,而不是那书本上死板的知识。因此在大学中更加值得注重的是实践与理论的统一。

2. 设计方案选择与论证

根据设计任务书的要求可知,需要设计一款电路来模拟汽车尾灯在汽车启动、转弯、刹车时的显示,通过对数字电子技术的学习可知,若要完成设计要求的功能,则需要利用数字电路来完成,但是究竟是利用硬件型还是软件型电路来设计呢?

所谓硬件型即为采用组合逻辑电路的时序逻辑电路来进行设计,其侧重于硬件的搭建,而软件型即为利用可编程逻辑器件对其进行编程来完成对应需要完成的功能,其侧重于软件的编译。再对设计任务进行分析,不难发现若利用硬件型则设计出的电路相当复杂,而且成本很高,然而利用单片机来完成,则花费的成本很低,而且设计出的电路很简便。

将两者进行对比后,显而易见,采用单片机来设计符合要求的电路时必然的,又因为设计的要求不是很高,所以不需要利用高级的单片机来进行电路的设计,故选择AT89S52来作为设计电路的主体。

综合上述,本次课设任务采用单片机AT89S52进行设计较好。

3. 硬件设计

3.1硬件设计流程图

根据课程设任务经过分析可以将整个汽车控制器电路分成三个模块,即为信号触发电路、信号控制处理电路和信号显示电路。

本设计的原理框图如下图1所示。

图1 汽车尾灯控制器原理框图

3.2硬件设计电路图

3.2.1信号触发电路设计

根据设计的要求可知,需要设置的触发信

号应该有四种即为启动信号,左转信号,右转信号以及刹车信号,在单片机电路设计过程一般采用瞬发低电平作为触发信号来控制显示电路,即可以用小按键来作为四种触发信号的产生者,其中触发信号可为高电平也可为低电平,此选择低电平为准,故得出信号控制电路如右图2所示,其中“MOVE”“LEFT”“STOP”“RIGHT”

P1^0,P1^1,P1^2,和P1^3。

3.2.2信号控制处理电路设计

图3 信号控制处理原理电路图

这次课程的主体即为信号的控制处理电路,其实连接信号触发电路与显示电路的桥梁,它必须能将小按键产生的信号通过转换变成显示信号再LED上显示出来。根据AT89S52的引脚功能可知,可以通过改变其中的几个引脚的电平来使得其他引脚的电平能够按照一定规律变化。通过进一步分析,可知,利用以AT89S52为基础的单片机最小系统来完成题中的功能,故信号控制处理电路即采用AT89S52构成的最小系统来搭建,电路如上图3所示:

整个电路包含三个部分,即为复位电路,时钟电路,和单片机本体。其中复位电路是有小按键和相关电阻、电容构成,在复位时,单片机的RST端接高电平,为有效电平即AT89S52复位;时钟电路由一个12M晶振和两个33P的电容构成电容三点式振荡电路为AT89S52提供1us的指令周期。

3.2.3信号显示电路设计

根据设计的要求可以用发光

二极管来模拟汽车尾灯,同时根据电路设计的简便原则设计出信号显示电路如右图所示,其中D1,D2,D3,D4,D5,D6,分别接单片机的P2^0,P2^1,

P2^2,P2^5,P2^6,P2^7口,D1,D2,D3为左侧三灯,D4,D5,D6为右侧三灯,由右图可知,当单片机的IO 口输出低电平时,LED 亮,即而可以通过控制IO 口的输出电平来控制显示电路。如当控制端的“STOP ”键按下,此时对应的控制端的IO 口为低电平,即而P2^0,P2^1,P2^2,P2^5,P2^6,P2^7先为低电平再为高电平,周而复始,故D1,D2,D3,D4,D5,D6就不断闪烁。

综合上述,通过三个模块的设计电路之间的耦合,与相关C 语言程序配套即可完成设计要求的功能,从而汽车尾灯显示控制器电路就设计完成了。

4.软件设计

4.1 软件设计流程图

根据设计任务书要求,本项目的软件工作应完成键盘读取、左转灯控制、右转灯控制及左右灯同时闪烁功能。软件流程框图如下图5 所示。

图5 汽车尾灯显示控制器电路软件流程图

4.2 电路的C语言源程序设计

根据汽车尾灯显示控制器电路软件流程图,可以得到要实现的最终功能是三种不同的显示,从而可以简单地将这三种显示用三个不同的显示函数来表示,即为stop_display(),right_display(),和left_display()。然而根据一般的显示函数的编法来说,要保证显示的持久性一般用死循环语句来实现,从一方面也就说明用一般的编法即会存在无法从一种显示向另一种显示自由的跳转。不过从显示函数中可以发现,显示需要延时才能使显示稳定。就此而言,不难想到利用在延时函数中添加条件即可使得一种显示向另一种显示自由跳转。具体的延时函数如下所示:

void delay(uint a)

{uint i,j;

for(i=0;i

{for(j=0;j<200;j++);

if(right==0)

{ while(right==0);

right_display();

}

if(left==0)

{while(left==0) ;

left_display();

}

if(stop==0)

{while(stop==0);

stop_display();

}

if(move==0)

{move_display();

delay(150);

}

}

}

显示跳转问题解决后,其他均可利用一般单片机编程来实现,如键盘识别等。

程序编写完成后,将其输入到Keil中进行编译,形成HEX文件以烧录到单片机中。在输入上述程序之后,初始进行编译时,在窗口中显示有错误,然后将其显示的错误进行一一排除,最终在窗口中显示“No error,No warning”时说明程序在语法上已经没有错误。但是是否能完成相应的功能还需得把得到的HEX 文件通过ISP接口写入AT89S52中,之后对电路进行测试,观察是否能达到设计要求的功能,若不能需要对、程序进行修改至能达到设计需要的功能为止。

5.设计电路功能测试

根据设计的汽车尾灯显示控制器电路原理图在万用板上焊接好电路,并对其进行硬件测试,并根据测试结果判断电路是否有短接,漏接的情况,若有则进行修正。在测试确定电路焊接无误后,通过电路的ISP程序下载口使电路与PC机进行通信,并将编写的C语言源程序烧录到电路的处理芯片AT89S52当中,在程序烧写完毕后,对整个电路进行功能测试,即按相应的按键观察是否有的相应的显示与之对应。

在测试过程可以观察到,电路上电后,直接按下“right”“left”“stop”键可以观察到无显示;当按下“move”键后,再按下“left”键可以观察左侧显示灯左循环亮,按下“stop”键可以观察到所有显示灯闪烁,按下“right”键可以观察到右侧显示灯右循环亮,再按下“move”键可以观察到所有显示灯熄灭。以上现象说明设计的电路与C语言程序符合设计要求。

6.总结与体会

我认为这次课设是一次检验我在半个学期对数字电子技术这门课程的学习究竟达到了什么水平,是否能够将在数字电子技术中学习到的知识运用于实践,是否能够将现实中的实际问题转化成一个能研究的模型来进行分析。

记得在大一时就已经开始学单片机了,一开始觉得很枯燥乏味,觉得跟实际问题没什么大的联系,很难培养出对其的兴趣,上了大二之后,随着对电子类的专业学科的学习明确了单片机的强大功能可以运用于许多方面来解决显示中的实际问题,如电梯的简化模型,简易温度计,简易频率计等等,随着不断地接触单片机,越来越觉得自己喜欢上了它,喜欢上编程,每段程序的完美结束都能给我带来莫名的喜悦。尽管有些时候遇到一些复杂的问题,很棘手,但是经过细细的分析到最后还是能解决的。

正如这次课程设计一样,一开始我觉得我选的课题很简单,但是在实际操作中却遇到很多问题,在编写程序的过程中有些看似很容易解决的问题却很难解决,如在编写左转、右转、刹车转化程序时,如何从显示的死循环中跳出让我苦思冥想了很长时间,最终通过在延时函数中添加条件解决这个问题。

总而言之,这次课程设计让我实践了一次,其中得到的东西很多,同时也让我明白了看上去很容易解决的问题在自己实际操作却不是很容易,因此,应该认真地对待每个细节,不要忽视其在整个系统中的作用。正如:细节决定成败!

参考文献

[1]伍时和,吴友宇.数字电子技术基础.北京:清华大学出版社,2009年4月

[2]潘晓宁.单片机程序设计实践教程.北京:清华大学出版,2009年8月

[3]戴佳.51单片机C语言应用程序设计实例精讲.北京:电子工业出版社,2008年12月

[4]赵俊生.单片机技术项目化原理与实训.北京:电子工业出版社,2009年9月

[5]杨居义.单片机原理与工程应用.北京:清华大学出版社,2010年1月

[6]周杰. 单片机C语言及汇编语言实用程序设计.北京:中国电力出版社,2009年4月

[7]彭伟.单片机C语言程序设计实训100例:基于8051+Proteus仿真.北京:电子

工业出版社,2009年6月

附录1 汽车尾灯控制器原理电路图

附录3 设计电路的C语言源程序

#include

#define uint unsigned int

#define uchar unsigned char

sbit right=P1^0;

sbit left=P1^2;

sbit stop=P1^1;

sbit move=P1^3;

void right_display();

void left_display();

void stop_display();

void move_display();

void delay(uint a)

{uint i,j;

for(i=0;i

{for(j=0;j<200;j++);

if(right==0)

{ while(right==0);

right_display();

}

if(left==0)

{while(left==0) ;

left_display();

}

if(stop==0)

{while(stop==0);

stop_display();

}

if(move==0)

{move_display();

delay(150);

}

}

}

void right_display()

{while(1)

{uint i;

uchar temp;

P2=0xDF;delay(150);

for(i=0;i<2;i++)

{P2=(P2<<1)|0x01;delay(150);

}

P2=0xDF;delay(150);

for(i=0;i<2;i++)

{temp=P2;

P2=(P2<<1)&temp|0x01;delay(150); }

}

}

void left_display()

{while(1)

{uchar temp;

uint i;

P2=0xFB;delay(150);

for(i=0;i<2;i++)

{P2=(P2>>1)|0x80;delay(150);

}

P2=0xFB;delay(150);

for(i=0;i<2;i++)

{temp=P2;

P2=(P2>>1)&temp|0x80;delay(150); }

}

}

void stop_display()

{while(1)

{P2=0x00;delay(150);

P2=0xFF;delay(150);

}

}

void move_display()

{while(1)

{P2=0xFF;

delay(150);

}

}

void main()

{P1=0xFF;

if(move==0)

{while(1)

{P2=0xFF;

if(right==0) right_display();

if(left==0) left_display();

if(stop==0) stop_display();

}

}

}

本科生课程设计成绩评定表

指导教师签字:

年月日

汽车尾灯控制电路设计

电子技术综合实验2 (开放型实验) 实验指导书 南昌航空大学信息工程学院电工电子教研室 2009年8月

实验一汽车尾灯控制电路设计 一、设计型实验的目的与任务 实验目的:使学生熟悉和掌握实际电子技术应用所需要的完整流程,即电路原理图设计、电路性能仿真与测试、电路板的制作、硬件电路的调试这一整套技能。 实验任务:在计算机上绘制电路原理图,完成设计电路的软仿真。在电子技术实验箱上搭建实物电路,并完成硬件电路的调试。观察实验现象,写出实验报告。 二、设计要求 假设汽车尾部左右两侧各有3个指示灯(可用试验箱上的电平指示二极管模拟) 1、汽车正常运行时指示灯全灭 2、右转弯时,右侧3个指示灯按右循环顺序点亮 3、左转弯时左侧3个指示灯按左循环顺序点亮 4、临时刹车时所有指示灯同时闪烁 三、设计内容 1、列出尾灯与汽车运行状态表,如表1-1所示 表1-1 2、设计总体框图 由于汽车左右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出每种运行状态下,个指示灯与各给定条件(S1、S0、CP、Q1、Q0 )的关系,即逻辑功能表如表1-2所示(表中0表示灯灭状态,1表示灯亮状态),由表1-2可得出总体框图,如图1-1所示。 表1-2

图1-1 3、设计单元电路 三进制计数器电路。由双JK 触发器74LS76构成,可根据表1-2进行设计。汽车尾灯电路。其显示驱动电路由6个发光二极管和6个反相器构成。译码电路由三线译码器74LS138和6个与非门构成。74LS138的三输入端A2、A1、A0分别按Q1、Q0,而Q1Q0是三进制计数器的输出端 Y 0,Y 1,Y 2,依次为0有效(Y 3,Y 4,Y 5的符号为“1”无效),即反相器G1~G3的输出端也依次为0,故指示灯D1→D2→D3顺序点亮,示意汽车右转弯。若上述条件不变,而S1=1,则74LS138对应的输出端Y 4,Y 5,Y 6依次为0有效,即反相器G4~G6的输出端也依次为0,故指示灯D4→D5→D6顺序点亮,示意汽车左转弯。当G=0,A=1时,74LS138的输出端全为1,G6~G1的输出端也全为1,指示灯全灭:当G=0,A=CP 时,指示灯随CP 的频率闪烁。 开关控制电路。设73LS138和显示驱动电路的使能端信号分别为G 和A ,根据总体功能表分析及组合得G 、A 与给定条件(S1、S0、CP )的真值表,如表1-3所示,真值表经过整理得逻辑表达式为 10 G S S =⊕ 10101010A S S S S CP S S S S CP =+=? 表3-3 4、设计汽车尾灯总体参考电路 由步骤3可得出汽车尾灯总体电路(参考),如图1-2所示

汽车尾灯课程设计

综述 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节. 当今社会生活节奏快,交通拥挤,导致交通事故频繁发生,其中汽车追尾事件在交通事故中所占比重较大,追尾时间的产生主要是由于司机的疏忽以及无法把握前方车辆的运行的状况而导致的;而汽车尾灯控制电路的产生,恰好有利于缓解这一状况,通过对尾灯的控制,体现汽车在公路的上的行驶状态,即汽车正常行驶时指示灯全灭;右转弯时,右侧3个指示灯右循环点亮左转弯时左侧三个指示灯按左循环循序点亮;临时刹车时所有指示灯同时闪烁。通过这一特点来提示后方车辆本车的行驶情况,有利于减少汽车追尾事件的发生,是一个值得普及的设计,而与此同时在此设计的基础上还可实现电路的拓展,例如加上被劫持报警装置等实用设备。 汽车尾灯控制电路如果在汽车领域广泛应用将有利于减少交通事故的发生。 1 总体逻辑结构 1.1汽车尾灯运行状态关系 根据课程设计任务书要求,分析汽车运行状态与尾灯关系可得如下关系表(表1-1)。其中J1,J2代表控制开关。 表1-1 汽车尾灯与汽车运行关系表 J2 J1 运行状态左尾灯右尾灯

0 0 1 1 0 1 1 正常行驶 右转弯 左转弯 紧急刹车 灭 灭 左尾灯循环闪烁 所有灯同时闪烁 灭 右尾灯循环闪烁 灭 所有灯同时闪烁 1.2汽车尾灯电路的逻辑电路关系 按照以上汽车的运行状态与尾灯关系分析总结,写出汽车尾灯正常行驶,左转弯,右转弯,紧急刹车时的二进制代码,以实现汽车正常行驶时指示灯全灭;右转弯时,右侧3个指示灯右循环点亮;左转弯时左侧三个指示灯按左循环循序点亮;临时刹车时所有指示灯同时闪烁的任务要求。其关系如下表(表1-2)。 表1-2汽车尾灯电路的逻辑关系表 开关控制二进制代码左尾灯右尾灯 J2 0 0 0 0 1 1 1 1 J1 1 1 1 1 Q1 X 1 1 X Q0 X 1 1 X D4 1 C L K D5 1 C L K D6 1 C L K D1 1 C L K D2 1 C L K D3 1 C L K

数电课设汽车尾灯控制电路终审稿)

数电课设汽车尾灯控制 电路 文稿归稿存档编号:[KKUY-KKIO69-OTM243-OLUI129-G00I-FDQS58-

课程设计课程名称数字电子技术 课题名称汽车尾灯控制电路 专业自动化 班级1591班 学号 姓名黄建龙 指导老师程春红 2017年 03 月 16 日

电气信息学院 课程设计任务书 课题名汽车尾灯控制电路 姓黄建龙专自动化班1591班学21 指导老程春红 课程设计时 一、任务及要求 任务:假设汽车尾部左右量测各有3个指示灯(用发光二极管模拟)1.汽车正常运行时指示灯全灭;2.右转弯时,右侧3个指示灯按右循环顺序点亮;3.左转弯时,左侧3个指示灯按左循环顺序点亮;4.临时刹车时所有指示灯同时闪烁。要求:1.设计思路清晰,给出整体设计框图,画出整机原理图;2.给出具体设 计思路,设计各单元电路、电路器件;3.总电路设计;4.进行实验仿真调试,验 证设计结果;5.编写设计说明书;6.所有图纸和说明书用计算机打印。 二、进度安排 第一周: 周一:课题内容介绍和查找资料; 周二~周三:方案设计,电路仿真,周三下午检查设计方案及仿真结果; 周四~周日:周四上午领元器件;安装、调试电路; 第二周: 周一~周三:安装、调试电路; 周四:验收电路,收元器件,整理实验室,撰写设计报告,打印相关图纸; 周五:答辩,收设计报告。 三、参考资料 1.康华光主编. 电子技术基础(数字部分),高等教育出版社。 2.阎石主编. 电子技术基础(数字部分),清华大学出版社。 3.任为民主编. 电子技术基础课程设计,中央广播电视大学出版社。 4.彭介华主编. 电子技术课程设计指导,高等教育出版社。 5.谢自美主编.《电子线路设计、实验、测试》,华中理工出版社。 目录 一、课程设计的任务要求---------------------------------------3 二、设计方案-------------------------------------------------4

基于单片机-AT89C51-的汽车尾灯控制电路课程设计

物理与电子信息系 课程设计报告 课程名称:单片机课程设计 题目:汽车尾灯的设计 学生姓名:李海标学号:11409321 学生姓名:唐凯学号:11409310 系部:物理与电子信息系 专业年级:电子信息工程专业2011级指导教师:余胜 职称:副教授 湖南人文科技学院物理与电子信息系制

目录 摘要.................................................................................................................................. - 1 - 1、设计课题任务、功能要求说明及总体方案介绍................................................................ - 2 - 1.1设计课题任务............................................................................................................... - 2 - 1.2功能要求说明............................................................................................................... - 2 - 1.3设计课题总体方案介绍及工作原理说明................................................................... - 2 - 1.3.1汽车尾灯的设计思路与频率计算................................................................... - 2 - 1.3.2AT89C51芯片介绍....................................................................................... - 3 - 2、设计课题硬件系统的设计.................................................................................................... - 6 - 2.1设计课题硬件系统各模块功能简要介绍................................................................... - 6 - 2.1.1复位电路........................................................................................................... - 6 - 2.1.2时钟振荡电路................................................................................................... - 7 - 2.1.3独立键盘电路................................................................................................... - 7 - 2.1.4 LED显示电路................................................................................................. - 8 - 2.2设计课题电路原理图、PCB 图、元器件清单.......................................................... - 9 - 2.2.1 原理图............................................................................................................ - 9 - 2.2.2 PCB图........................................................................................................... - 9 - 2.2.3 仿真图............................................................................................................ - 9 - 2.2.4 元器件清单.................................................................................................... - 9 - 3、设计课题软件系统的设计.................................................................................................... - 9 - 3.1设计课题使用单片机资源的情况............................................................................... - 9 - 3.1.1 键盘设定........................................................................................................ - 9 - 3.1.2 发光二级管显示设定.................................................................................. - 10 - 3.2设计课题软件系统程序流程框图............................................................................. - 10 - 3.2.1 主程序流程图................................................................................................ - 10 - 3.2.2键扫程序流程图............................................................................................. - 10 - 3.2.3延时程序流程图............................................................................................. - 11 - 3.2.4 显示程序流程图............................................................................................ - 12 - 3.3设计课题软件系统程序清单..................................................................................... - 13 - 4、仿真结果与误差分析 ......................................................................................................... - 14 - 4.1汽车尾灯控制电路的使用说明................................................................................. - 14 - 4.2汽车尾灯控制仿真结果............................................................................................. - 14 - 4.3硬件调试 .................................................................................................................... - 15 - 4.4设计体会 .................................................................................................................... - 15 - 致谢 ....................................................................................................................................... - 16 - 参考文献 ................................................................................................................................... - 17 - 附录 ....................................................................................................................................... - 18 - 一、原理图........................................................................................................................ - 19 - 二、PCB图 ........................................................................................................................ - 19 - 三、仿真电路图................................................................................................................ - 20 - 四、设计课题元器件清单................................................................................................ - 20 - 五、程序清单.................................................................................................................... - 22 -

课程设计——汽车尾灯控制器的设计1

& 成绩:分 ××××系 课程设计报告书 课程设计名称电子产品综合设计 《 汽车尾灯控制器的设计 题目 学生姓名 专业 班级 : 指导教师 日期:2010年7月5日 {

摘要:本设计根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块、左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 关键字:时钟信号,EDA工具,状态机 Abstract: This design is according to the computer state machine theory, using VHDL taillight design the various parts of the controller and use the EDA tools for simulation of each taillight controller design is divided into four modules: the clock frequency module, the taillight major control module, left lamp control module and right lamp control module after the formation of a car taillight integrated the input system clock signal and the signal related to vehicle control, vehicle tail lights will correctly display the current state of vehicle control. Key words: The clock signal, EDA tools, the computer state machine theory · -

课程设计:汽车尾灯控制电路word文档

西南科技大学电子技术课程设计 课程名称:电子技术课程设计 程序题目:汽车尾灯控制电路 姓名:何忠建左朝振 学号: 20045081 20045100 班级:自动 0405 班 指导教师:曹文 时间:2007.1.14 评分:

汽车尾灯控制电路 一.设计任务 设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按 右循环顺序点亮(R 1→R 1 R 2 →R 1 R 2 R 3 →全灭→R 1 )时间间隔0.5S(采用一个2HZ的 方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L 1→L 1 L 2 →L 1 L 2 L 3 →全 灭→L 1);在临时刹车或者检测尾灯是否正常时,所有指示灯同时点亮(R 1 R 2 R 3 L 1 L 2 L 3 点亮);当汽车后退的时候所有尾灯循环点亮;当晚上行车的时候汽车尾灯的最下一个灯一直点亮。 二、设计条件 本设计基于学校电子技术实验后设计的,通过在电脑上利用各种软件设计而成,包括Quartus II 5.0,Multisim2001以及DXP2004等设计仿真软件。 三、设计要求 分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时,所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74161,计数器输出为高电平时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示。汽车尾灯控制电路设计总体框图如图1所示。 汽车尾灯和汽车运行状态表1-1

电子设计毕业设计-汽车尾灯控制电路设计论文资料-正文

1 引言 在日新月异的21世纪里,电子产品得到了迅速发展。许多电器设备都趋于人性化、智能化,这些电器设备大部分都含有CPU 控制器或者是单片机。单片机以其高可靠性、高性价比、低电压、低功耗等一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统、通讯设备、日常消费类产品和玩具等。并且已经深入到工业生产的各个环节以及人民生活的各个方面,如车间流水线控制、自动化系统等、智能型家用电器(冰箱、空调、彩电)等。用单片机来控制的小型电器产品具有便携实用,操作简单的特点。 本文设计的汽车尾灯控制电路属于小型智能电子产品。利用单片机进行控制,实时时钟芯片进行记时,外加掉电存储电路和显示电路。此设计具有相当重要的现实意义和实用价值。 2 系统概述 本设计以AT89S52单片机为核心,构成单片机控制电路,完成对它们的自动调整和掉电保护。人机接口由四个按键来实现,用这四个按键对汽车左转,右转,停车和检测进行控制。。软件控制程序实现所有的功能。整机电路使用+5V 稳压电源,可稳定工作。系统框图如图2-1所示,其软硬件设计简单,可广泛应用于长时间工作的系统中。 图2-1 系统框图 3 方案选择 由于汽车尾灯控制电路的种类比较多,因此方案选择在设计中是至关重要的。正确地选择方案可以减小开发难度,缩短开发周期,降低成本,更快地将产品推向市场。 ** 方案1——基于AT89S52单片机的汽车尾灯控制电路设计 直接用AT89S52单片机来实现汽车尾灯控制电路设计。AT89S52是一种带8K 字节闪烁可编程可擦除只读存储器的低电压,高性能CMOS 8位微处理器,俗称单片机。单片机的可擦除只读存储器可以反复擦写1000余次。由于将多功能8位CPU 和闪烁存储器组合在单个芯片中,A TMEL 的A T89S52是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 用单片机来实现汽车尾灯控制电路设计,无须外接其他芯片,充分利用了单片机的资源。 ** 方案2——基于电子元件的汽车尾灯控制电路设计 人机接口 显示电路 软件控制程序 电源电路 单片机控制电路

汽车尾灯课程设计++VHDL++EDAgrx

《2011至尊恋爱秘籍》男人幸福必备! 目录 1.引言 (1) 1.1设计的目的 (1) 1.2设计的基本内容 (1) 1.3 EDA的介绍 (1) 1.3.1 EDA技术的概念 (1) 1.3.2 EDA技术的特点 (2) 1.3.3 EDA设计流程 (2) 1.4硬件描述语言(VHDL) (2) 1.4.1 VHDL的介绍 (2) 1.4.2 VHDL语言的特点 (3) 2.总体设计 (4) 2.1需求分析 (4) 2.2汽车尾灯控制器的工作原理 (4) 2.3 汽车运行状态表和总体框图 (5) 3.详细设计 (6) 3.1各组成模块 (6) 3.2时钟分频模块 (6) 3.3 汽车尾灯主控模块 (6) 3.4左边灯控制模块 (7) 3.5右边灯控制模块 (9) 4.系统仿真与调试 (10) 4.1分频模块仿真及分析 (10) 4.2汽车尾灯主控模块仿真及分析 (10) 4.3左边灯控制模块仿真及分析 (11) 4.4右边灯控制模块仿真及分析 (11) 4.5整个系统仿真及分析 (12) 4.6 总体设计电路图 (12) 总结 (13) 参考文献 (14)

1.引言 随着社会的发展,科学技术也在不断的进步,状态机的应用越来越广泛。现代交通越来越拥挤,安全问题日益突出,在这种情况下汽车尾灯控制器的设计成为解决交通安全问题一种好的途径。在本课程设计根据状态机原理[1]实现了汽车尾灯常用控制。 1.1设计的目的 本次设计的目的就是通过实践深入理解计算机组成原理,了解EDA技术[2]并掌握VHDL硬件描述语言的设计方法和思想。以计算机组成原理为指导,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。通过对实用汽车尾灯控制器[3]的设计,巩固和综合运用所学知识,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。 1.2设计的基本内容 根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 1.3 EDA的介绍 1.3.1 EDA技术的概念 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

电子技术课程设计汽车尾灯

课程设计报告设计题目:汽车尾灯控制电路的设计与实现 班级:计算机 学号: 姓名: 指导教师: 设计时间: 摘要 进行本次课程设计主要有两个目的,一是对数字逻辑这门课程的理论知识进行一次系统的梳理;二是锻炼自己将理论应用于实践的能力。针对以上目的,就要求做到,通过分析实际的需求提炼出相应的理论模型,进而再进行电路的设计,在之后的实际电路实现的过程中,还可以根据实际的需要对电路做出一些改进。 本课题设计一个汽车尾灯的控制电路。汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。 使用555定时器发出秒脉冲,74LS161计数器和74LS138以及其他逻辑门实现控制个驱动功能,实现基本要求和扩展,即汽车正常行驶时指示灯不亮;右转弯时右侧3个指示灯按右循环顺序点亮,左侧指示灯全灭;左转弯时左侧3个指示灯按左循环顺序点亮,右侧指示灯全灭;汽车临时刹车和倒车时指示灯闪烁;右转弯刹车时右侧灯顺序循环点亮,左侧灯全亮;左转弯刹车时左侧灯顺序循环点亮,右侧灯全亮以及用数码管显示各个状态等。 关键词:计数器,译码器,555定时器,逻辑门等 目录 摘要 (2) 第1章概述 (4) 第2章课程设计任务及要求 (5) 2.1 设计任务 (5)

2.2 设计要求 (5) 第3章系统设计 (7) 3.1 方案论证 (7) 3.2 系统设计 (7) 3.2.1 结构框图及说明 (7) 3.2.2 系统原理图及工作原理 (8) 3.3 单元电路设计 (9) 3.3.1 单元电路工作原理 (9) 3.3.2 元件参数选择 (12) 第4章软件仿真 (13) 4.1 仿真电路图 (13) 4.2 仿真过程 (13) 4.3 仿真结果 (14) 第5章安装调试 (20) 5.1 安装调试过程 (20) 5.2 故障分析 (20) 第6章结论 (21) 第7章使用仪器设备清单 (21) 参考文献 (21) 收获、体会和建议 (22) 第1章概述 随着现代科技和社会经济的发展,汽车已经逐步被广泛应用于人们的生产和生活。而对于汽车行驶安全的要求就显得尤为重要,通过科技的力量来改进汽车的性能已经成为主要的方向。立足于《电子技术》这门课程的知识体系,力求通过本学科的一些知识对汽车的尾灯显示电路进行模拟和做出一些分析改进。希望通过这次设计实践,达到两个目的,锻炼自己的动手实践能力,以及用已学的知识对汽车尾灯控制电路进行详尽的分析与模拟。 对于汽车尾灯控制电路这项课设,主要有三方面的要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态一一对应;三是汽车尾灯的显示要依次循环变亮。针对

汽车尾灯课程设计

目录 一、设计课题任务和要求 (2) 二、总体方案选择的论证 (2) 三、单元电路的设计 (4) 四、总体电路图、功能单元电路图 (6) 五、组装与调试 (9) 六、所设计电路的特点以及改进意见 (11) 七、所用元器件的编号列表 (11) 八、参考文献 (11) 九、收获、体会和建议 (12) 十、附录 (12) 一、设计课题任务和要求 本课题设计一个汽车LED尾灯的控制器电路。该电路由四个电键控制,分别对应着左转、右转、刹车和检查功能。 当接通左转或右转电键时,左侧或右侧的3个汽车尾灯按照左循环或右循环的顺序依次点亮。 当接通刹车电键时,汽车所有的尾灯点亮。 当接通检查电键时,汽车所有的尾灯同时闪烁(0.5—1S/次)。 二、总体方案选择的论证 为了区分汽车尾灯的4种不同的显示模式,我们设置4个状态控制变量。假定用开关K1、K2、K3、K4进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如下表1所示。

1 0 1 1 右转弯熄灭 按D4、D5、D6顺序 循环点亮 1 1 0 1 刹车同时点亮同时点亮 1 1 1 0 检查同时闪烁同时闪烁 在汽车左右转弯行驶时由于3 个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮3个指示灯。设三进制计数器的状态用Q1和Q0表示,可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量K1、K0,计数器的状态Q1、Q0以及时钟脉冲CP之间关系的功能表如表2所示(表中指示灯的 开关计数器状态汽车尾灯状态 K1 K2 K3 K4 Q1 Q0 D1 D2 D3 D4 D5 D6 0 1 1 1 0 0 0 0 1 0 0 0 0 1 0 1 0 0 0 0 1 0 1 0 0 0 0 0 1 0 1 1 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 0 1 0 0 0 0 0 0 1 1 1 0 1 - - 1 1 1 1 1 1 1 1 1 0 - - CP CP CP CP CP CP 表 2 汽车尾灯控制器功能表 根据以上设计分析与功能描述,可以得出汽车尾灯控制器的结构框图,如图1所示。

汽车尾灯显示控制电路设计

武汉理工大学《数字电子技术》课程设计报告 学号: 课程设计 题目汽车尾灯显示控制电路设计 学院信息工程学院 专业通信工程 班级通信0805 姓名 指导教师刘建新 2010年 7 月 1日

精品文档 目录 1 摘要 (1) 2设计要求与思路 (2) 2.1设计目的与要求 (2) 2.2设计思路构想 (2) 2.2.1汽车尾灯显示状态与汽车运行状态的关系 (2) 2.2.2汽车尾灯显示控制功能描述 (2) 3 单元电路设计 (4) 3.1 秒脉冲电路的设计 (4) 3.2 开关控制电路的设计 (5) 3.3 三进制计数器电路的设计 (7) 3.4 译码与显示驱动电路的设计 (8) 3.5 尾灯状态显示电路的设计 (10) 4电路仿真与分析 (11) 4.1电路仿真总电路图 (11) 4.2汽车尾灯显示控制电路的工作原理 (11) 4.3各部分仿真结果 (12) 4.4仿真中遇到的问题 (15) 5 元器件清单 (16) 6 设计体会 (16) 7附录 (17) 参考文献 (19)

武汉理工大学《数字电子技术》课程设计报告 1摘要 课程设计作为数字电子技术和模拟电子技术课程的重要组成部分,目的是一方面使我们能够进一步理解课程内容,基本掌握数字系统设计和调试的方法,增加集成电路应用知识,培养我们的实际动手能力以及分析、解决问题的能力。 本文介绍了一种通过TTL系列产品设计模拟汽车尾灯工作情况电路的方法。主要阐述了如何通过555系列来制作脉冲产生器,如何利用J-K触发器改制三进制的计数器和译码器的使用等一系列方法。实验通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,临时刹车。 关键字:汽车尾灯,脉冲,计数器,译码器,行驶情况 Abstract Curriculum design as a simulation of digital electronic technology and electronic technology an important component of the course, on the one hand, the purpose of enabling us to further understanding of course content, the basic digital system design and debugging methods, applications of integrated circuits to increase knowledge, foster the ability of our hands as well as analysis, problem-solving abilities. This article describes a series of product design through simulation TTL auto taillight circuit methods work. Mainly on how to produce 555 series pulse generator, how to make use of JK flip-flop ternary system decoder of the counters and the use of a range of methods. Experimental simulation of vehicle through the light-emitting diode taillights to achieve a moving car when the four cases: the normal traffic, left turn, right turn, temporary brake. Keywords: auto lamps, pulse, counters, decoders, traffic situation.

汽车尾灯控制电路设计说明书

中北大学 课程设计说明书 学生姓名:学号: 学院:信息与通信工程学院 专业:光电信息科学与工程 题目:汽车尾灯控制电路设计 指导教师:职称: 指导教师:职称: 201X年 X月X日

中北大学 课程设计任务书201X/201X 学年第一学期 学院:信息与通信工程学院专业:光电信息科学与工程学生姓名:学号: 课程设计题目:汽车尾灯控制电路设计起迄日期:X月X日~X月X日课程设计地点:中北大学 指导教师: 学科管理部主任: 下达任务书日期: 201X年X月X日

1.设计目的: 本课程设计主要针对模拟电子技术和数字电子技术课程要求,培养学生在查阅资料的基础上,进行实用电路设计、计算、仿真、调试等多个环节的综合能力,同时培养学生用课程中所学的理论独立地解决实际问题的能力。另外还培养学生用专业的、简洁的文字,清晰的图表来表达自己设计思想的能力。 2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等): (假设汽车尾部左右各有3只指示灯,汽车正常运行时全部熄灭;右转时右侧3只灯依次按右循环点亮;左转时左侧3只灯依次按左循环点亮;刹车时所有灯同时闪烁。)(1)掌握车灯右循环电路的设计、仿真与调试; (2)掌握车灯左循环电路的设计、仿真与调试; (3)掌握延时电路的设计、仿真与调试,车灯循环点亮和闪烁时,点亮和熄灭时间都为2秒,精度大于10%; (4)掌握状态切换电路的设计、仿真与调试; (5)掌握方案设计与论证; (6)掌握用相关软件进行电路图设计、仿真,以及对仿真结果的分析、总结。 3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、实物样品等〕: (1)提供核心器件的工作原理与应用介绍; (2)提供用Protel99/DXP设计的电路原理图,印刷板电路图选做; (3)提供用Multisim、MaxPlus、Proteus等其他软件对电路的仿真结果与分析; (4)提供符合规定要求的课程设计说明书,图、表清晰; (5)提供参考文献不少于三篇,且必须是相关的参考文献。

汽车尾灯课程设计报告

课程设计报告 课程名称:电子技术课程设计 设计题目:汽车尾灯控制器 专业:电气工程及其自动化 班级: 2009 学号 学生XX:李博 时间:2012 年 2月 27 日~3月 2 日 ―――――――以下指导教师填写―――――分项成绩:出勤成品答辩及考核 总成绩:总分成绩 指导教师:

课程设计报告要求和成绩评定 1报告基本内容 前言,目录,任务书,正文,参考文献。 2 书写用纸 A4复印纸。 3 书写要求 主要部分手工双面或单面书写(计算机绘图等指定内容可打印),字迹清楚,每页20行左右,每行30字左右,排列整齐;页码居中写在页面下方;纸面上下左右4侧边距均为2厘米。 前言和目录合写作为第一页;参考文献接正文书写,不另起页。 公式单占一行居中书写;插图要有图号和图题,图号和图题书写在插图下方;表格要有表号和表题,表号和表题在表格上方书写;物理量单位和符号、参考文献引用和书写以及图纸绘制要符合有关标准规定;有关细节可参考我院《毕业设计成品规X》。 4 装订 装订顺序:封面,前言和目录,任务书,正文及参考文献,图纸,封底;左边为装订边,三钉装订,中间钉反向装订。 5 成绩评定 课程设计成绩由出勤(10分)、报告书写规X性及成品[注]质量(30分)、答辩及考核(60分)三部分成绩合成后折合为优秀(90-100分)、良好(80-89分)、中(70-79分)、及格(60-69分)或不及格(60分以下)。 注:成品含义由课程设计任务书规定,除课程设计报告外,还可以包括图纸、计算机程序、制作品、实验或测试方案等。

前言 在当今社会中,数字时代已经成为一种现实,并且时刻影响着人们的日常生活,作为数字化的基础——数字电子电路,无疑是至关重要的。数字电路课程设计便是本课程的一种很好的实践,更是加深电子技术理论理解的重要途径,同时有助于培养我们严谨,探索的科学精神。 “汽车尾灯控制电路”作为电子技术基础课程的一个实践,利用基本的芯片:双向移位寄存器74LS194,二输入与非门74LS00、四输入与非门74LS20、六反相器74LS04、3-8译码器,555定时器及电阻电容进行搭建。综合数字电路和模拟电路的知识,提升了我们理实际解决问题的能力,有助于增强我们将理论转为实际的意识,是一种很好的锻炼和学习方式。 在实际的设计过程中得到了尚志刚,苏士美等老师的鼎力相助,谢谢他们的无私的指导,“汽车尾灯控制电路”才得以顺利完成。再次祝他们工作顺利,万事如意。 由于时间紧迫和水平有限,本课程设计报告还存在瑕疵,恳请老师提出指正意见。 作者:李博 2012年3月2日

汽车尾灯控制电路

课程设计说明书 课程设计名称:电子课程设计 课程设计题目:汽车尾灯控制电路 学院名称:信息工程学院 专业:计算机科学与技术班级: 学号:姓名: 评分:教师: 20 10 年 9 月 15 日 摘要 随着科学技术的全方面发展,汽车制造工艺得到了长足的进步,使得汽车

已经成为现代人们主要的交通工具。人们了解到他们便捷、快速之余,也同时意识到汽车潜在的安全隐患,所以对具有汽车行驶状况提示作用的汽车尾灯进行研究是非常必要的。 本次课题设计的目的:设计汽车尾灯控制电路,由两个开关控制实现汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。尾灯分别由左右各三个灯泡组成,实验中采用发光二极管显示。这样可以使得尾灯更清楚明显更加人性化。本次设计是关于汽车尾灯控制电路的设计,根据汽车尾灯显示状态与汽车运行状态的关系,分析并设计电路。整个电路由控制电路,三进制计数器,译码与显示驱动电路,尾灯状态显示4部分组成。分析了使能控制信号与模式控制变量、时钟脉冲的关系,运用J—K触发器、3—8译码器等实现了根据汽车的运行状态,指示灯显示4种不同的模式。本文详细的介绍了电路的设计思路及其实现过程,包括了整个设计流程。 通过上述电路组成使得汽车正常行驶时尾灯全灭,左转弯时左边三个指示灯顺序点亮,右转弯时右边三个指示灯顺序点亮,紧急刹车时左右两边指示灯同时闪烁,从而完成整个汽车尾灯控制电路的设计。 经过一系列的分析、仿真模拟等准备工作,本次课题设计基本都实现了全部的设计要求。 关键字:汽车尾灯、循环闪烁、译码、脉冲源 目录 前言 (4)

第一章设计内容及要求 (5) 第二章系统设计方案选择 2.1 方案一 (6) 2.2 方案二 (7) 第三章系统组成及工作原理 3.1 系统组成 (8) 3.2 工作原理 (9) 第四章单元电路设计、参数计算、器件选择 4.1 CP脉冲电路的设计......................................`10 4.2 三进制计数器电路设计. (11) 4.3 开关控制电路设计 (12) 4.4译码及显示驱动电路 (13) 第五章实验调试及测试结果与分析 (15) 第六章实验总结及收获 (16) 参考文献 (17) 附录一 (18) 附录二 (21) 附录三 (22) 前言 汽车技术的发展趋势是电子化、智能化、信息化和集成化当前国际汽车

相关文档
最新文档