序列信号发生器

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

S3
Y=0
Y=0
Y=1
2、状态编码
000~101 表示 S0 ~ S 5
8
3.1 利用D 触发器设计一个 110100序列信号发生器 3、列状态转换输出表
Q
2
Q
1
Q
0
Q
*
2
Q
*
1
Q
*
0
Y
000 0 0 1 1
001 0 1 0 1
010 0 1 1 0
011 1 0 0 1
100 1 0 1 0
101 0 0 0 0
100
011
111
6、得到电路图 (略)
110
12
3.2 用计数器和多路复用器器构成序列信号发生器
方法: 1)如果序列长度为 L ,则将计数器接成 L 进制的计数
器:“n1— n1+L”( 置数法或清零法) 2)将多路复用器的数据输入“ D n1— D n1+L ”接成要
产生序列的信号。 3)将计数器的输出端接到多路复用器的地址输入端。
1
补充:序列信号发生器 (sequence generator)
序列信号发生器的构成方法有多种:
1. 使用环形计数器设计“1000…0” 型序列信号发生器; 2.使用扭环计数器设计“11…100…0” ( n个“1”, n个“0”)型
序列信号发生器; 3. 任意类型的序列信号发生器 3-1.使用D 触发器设计 3-2.使用计数器和多路复用器设计序列信号发生器; 3-3.用移位寄存器和反馈组合电路(分立门电路,译码器,多路
例:产生一个8位的序列信号 00010111
CLOCK
+5V
74x163
CLK CLR LD ENP ENT A B C D
QA QB QC QD RCO
74x151
0 EN
A B C
+5V
D0 D1 D2
Y Y
D3
D4
D5
D6
D7
序列 信号 输出
数据选择器74x151的输入D0-D7 接成00010111。计数器74x163接成
0100 0010
有效状态
CLOCK
74x194的任何一位Q 输出(如Q0) 都可以实现“1000”序列。
Q0 Q1 Q2 Q3
4
2. 用扭环计数器设计“11110000” 序列发生器
CLK Q0
Q1 Q2
Q3
0000
0001
0011
0111 有效圈
1000 1100 1110 1111
5
? 利用扭环计数器构成“11110000”序列发生器
– 利用D 触发器设计 – 利用计数器和多路复用器器设计 – 利用移位寄存器和反馈组合电路(分立门电路,译
码器,多路复用器)设计
7
3.1 利用D 触发器设计一个 110100序列信号发生器
1、画状态转换图
时序电路的不同状态表示输出序列中不同位。设输出信号为Y 。
S0
S1
S2
Y=1
Y=1
Y=0
S5
S4
—— 注意自校正(Johnson计数器 ) +5V
74x194
CLOCK
CLK
RESET_L
CLR
S1
S0
LIN
D
QD
Q0
C
QC
Q1
74x194的任何一位Q
B
QB
Q2
输出(如Q0)都可
A
QA
Q3
以实现“11110000”
RIN
序列。
6
3. 任意序列信号发生器
? 例:设计一个 110100序列信号发生器方法:
0-5计数,并连接到74x151的选择输入端CBA ,以选择74x151的
D0-D5 作为输出,从而产生所需序列。
14
例:产生一个6位的序列信号 110100 (清零法)
CLOCK
+5V
74x163
CLK CLR LD ENP ENT A B C D
QA QB QC QD RCO
0 +5V
74x151
13
例:产生一个6位的序列信号 110100 (置数法)
+5VCLK
74x163
CLK CLR LD ENP ENT A B C D
QA QB QC QD RCO
0 +5V
74x151
EN
A B C
D0 D1 D2
Y Y
D3
D4
D5
D6
D7
序列 信号 输出
数据选择器74x151的输入D0-D5 接成110100。计数器74x163接成
Q2* Q1Q0 Q2 00 01 11 10
0
1
11
dd
D2=Q2Q0'+Q1Q0
Y Q1Q0 Q2 00 01 11 10
01 1 1
1
dd
Y=Q2'Q1'+Q1Q0
11
3.1 利用D 触发器设计一个 110100序列信号发生器
5、检查电路的自启动能力
000
001
010
101 电路是自启动的.
9
3.1 利用D 触发器设计一个 110100序列信号发生器 4、得到激励方程和输出方程
Q0* Q1Q0 Q2 00 01 11 10
01
1
11
dd
D0=Q0'
Q1* Q1Q0 Q2 00 01 11 10
0
1
1
1
dd
D1=Q2'Q1'Q0+Q1Q0'
10
3.1 利用D 触发器设计一个 110100序列信号发生器
0-7计数,并连接到74x151的选择输入端CBA ,以选择74x151的
D0-D7 作为输出,从而产生所需序列。
16
类似,可以用计数器和多路复用器产生长度不大 于8的序列信号,如“1000”、“111000”等。
17
3.3 用移位寄存器和反馈组合电路实现序列发生器
设计原理:
C来自百度文库OCK RESET_L
EN
A B C
D0 D1 D2
Y Y
D3
D4
D5
D6
D7
序列 信号 输出
数据选择器74x151的输入D0-D5 接成110100。计数器74x163接成
0-5计数,并连接到74x151的选择输入端CBA ,以选择74x151的
D0-D5 作为输出,从而产生所需序列。
15
3.2 用计数器和多路复用器构成序列信号发生器
+5V
移位寄存器
CLK
CLR S1 S0 LIN D C B A RIN
QD QC QB QA
根据要产生的序列得到串行输入表 达式,再根据此表达式得到串行输入的电 路,这是一个组合电路,可以用逻辑门电 路实现,也可以用译码器或者多路复用器 实现。
补充:序列信号发生器 (sequence generator)
序列信号:在数字信号的传输和数字系统的测试中,有时需要 用到一组特定的串行数字信号,通常把这种串行数字信号叫做 序列信号。 能够循环地产生序列信号的电路称为序列信号发生器。 序列的长度:序列信号有多少位,就称序列长度为多少。 例如:序列为00011,则序列长度为5。
复用器)设计(环行计数器和扭环计数器属于此类); 4.用线性反馈移位寄存器计数器设计最大长度的序列
2
1. 顺序脉冲发生器(1000…0类序列)
CLK Q0 Q1 Q2 Q3
1000
0001
0100
0010
有效状态
3
? 利用环形计数器器构成“1000”序列发生器
—— 注意自校正(环形计数器 )
1000 0001
相关文档
最新文档