行人过街手动交通灯控制器的设计..

合集下载

十字路口交通灯控制器设计

十字路口交通灯控制器设计

通信工程系(教研室)指导教师目录1.前言 (1)2.系统设计任务跟要求 (2)3.交通灯状态分析 (2)4.系统设计思路 (4)5.开发板模块功能运用 (5)6.设计源程序 (7)7.设计心得 (13)8.参考文献 (13)1.前言自从1858年英国人,发明了原始的机械扳手交通灯之后,随后的一百多年里,交通灯改变了交通路况,也在人们日常生活中占据了重要地位,随着人们社会活动日益增加,经济发展,汽车数量急剧增加,城市道路日渐拥挤,交通灯更加显示出了它的功能,使得交通得到有效管制,对于交通疏导,提高道路导通能力,减少交通事故有显著的效果近年来随着单片机芯片的发展,单片机在各个领域的应用越来越多,单片机往往作为一个核心部件来使用,在实时检测和自动控制的单片机应用系统中,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。

十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。

那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。

交通信号灯控制方式很多。

本系统采用STC89C52单片机以及单片机最小系统和三极管驱动电路以及外围的按键和数码管显示等部件,设计一个基于单片机的交通灯设计。

设计通过两位一体共阴极数码管显示,并能通过按键对定时进行设置。

本系统实用性强、操作简单、扩展功能强。

设计通过STC89C52单片机以及单片机最小系统和74HC245驱动数码管(数码管更亮,白天看的很清楚)以及外围的按键和数码管显示等部件,数码管倒计时显示时间。

2.系统设计任务跟要求设计任务:利用单片机设计一个十字路口交通灯控制,具体技术要求如下:(1)利用单片机的定时器产生秒信号,控制十字路口的红、绿、黄灯交替点亮和熄灭,并且用4只LED数码管显示十字路口两个方向的剩余时间。

要求能用按键设置两个方向的通行时间,即绿、红灯点亮的时间和暂缓通行时间,即黄灯点亮的时间。

系统的工作应符合一般交通灯控制的要求。

交通灯自动手动控制电路设计

交通灯自动手动控制电路设计

唐山学院数字电子技术课程设计题目交通灯控制逻辑电路设计系 (部) 信息工程系班级 10通信1班姓名蔡传平学号 4100214109指导教师马军爽张雅静2012年 7 月 2 日至 7 月 8 日共 1 周2012年 7 月 8 日《数字电子技术》课程设计任务书一、设计题目、内容及要求设计题目:交通灯控制逻辑电路设计设计内容:1、交通灯时序工作流程图如下:2、要求东西方向的红、黄、绿灯和南北方向的红、黄、绿灯按照上面的工作时序进行工作,黄灯亮时应为闪烁状态;(1)南北和东西车辆交替进行,,各通行时间24秒(2)每次绿灯变红灯时,黄灯先闪烁4秒,才可以变换运行方向3、十字路口要有数字显示作为时间提示,以倒计时按照时序要求进行显示;4、可以手动调整和自动控制,夜间为黄灯闪耀状态(选作:通行时间和黄灯闪亮时间可以在0-99秒内任意设定)设计要求:1、根据任务要求设计中的各个电路模块;2、给出multisim仿真结果;3、设计说明书要包含设计总结;二、设计原始资料multisim仿真软件三、要求的设计成果(课程设计说明书、设计实物、图纸等)1、课程设计说明书2、multisim仿真图四、进程安排2012-7-2——2012-7-4 根据设计要求设计电路,并用multisim进行仿真2012-7-5——2012-7-5 撰写课程设计说明书,答辩五、主要参考资料[1].王鸿明,段玉生.《电工与电子技术》.高等教育出版社,2009.12[2].阎石.《数字电子技术基础》.高等教育出版社,2009.2[3].吴俊芹.《电子技术实训与课程设计》.机械工业出版社,2009.4指导教师(签名):教研室主任(签名):课程设计成绩评定表出勤情况出勤天数缺勤天数成绩评定出勤情况及设计过程表现(20分)课设答辩(20分)说明书(20分)设计成果(40分)总成绩(100分)提问(答辩)问题情况综合评定指导教师签名:年月日目录1 引言 (1)1.1设计目的 (1)1.2设计背景 (1)2软件简介 (3)3总体设计思路 (5)4单元设计电路 (6)4.1脉冲产生电路 (6)4.1.1自动控制脉冲产生电路 (6)4.1.2手动控制脉冲产生电路 (7)4.2 倒计时计数器 (7)4.3倒计时计数器与信号灯转换器的连接 (8)4.4信号灯的转换方法 (10)4.5白天夜间模式切换的设计 (11)5总结 (13)参考文献 (14)附录 (15)附录1 器件明细表 (15)附录2 仿真电路图 (16)1 引言1.1设计目的学习了一个学期的《数字电子技术》课程,这次的课程设计主要综合了解与运用所学的知识,通过这次课程设计来检查这一学期的学习状况。

EDA实验课程大作业报告:设计制作一个用于十字路口的交通灯控制器

EDA实验课程大作业报告:设计制作一个用于十字路口的交通灯控制器

交通灯控制器设计一.系统功能设计要求设计制作一个用于十字路口的交通灯控制器,要求如下:(1)南北和东西方向各有一组红、绿、黄灯来指挥交通,持续时间分别为25S,20S,和5S。

(2)当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止。

(3)当特殊情况结束后,控制器恢复原来状态,继续正常运行。

(4)用两组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。

二.设计原理1.交通灯控制器的状态转换根据题目要求将将红绿灯的状态转换列成如下表:2.设计方案1)由于交通灯需要使用2位7段LED数码管指示通行剩余时间,故采用LED动态扫描方式显示当前时间。

频率设定CLK1k对应的频率为50MHZ。

2)控制模块是交通灯的核心,主要控制交通灯按工作顺序自动变换,同时控制倒计时模块工作,每当倒计时回零时,控制模块接收到一个计时信号,从而控制交通灯进入下一个工作状态。

3)每个方向有一组2位倒计时器模块,用以显示该方向交通灯剩余的点亮时间。

4)显示模块由两部分组成,一是由七段数码管组成的倒计时显示器,每个方向两个七段数码管;二是由发光二极管代替的交通灯,每个方向3个发光二极管。

三.变量符号说明其中,CLK1K为系统时钟信号输入端,SN为禁止通行信号输入通行信号输入端,light0为东西红灯信号输出端,light1为东西黄灯信号输出端,light2为东西绿灯信号输出端,light3为南北红灯信号输出端,light4为南北黄灯信号输出端,light5为南北绿灯信号输出端,led1、led2、led3、led4为数码管地址选择信号输出端。

四.代码说明library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity Hongld ISport (clk1k,SN:in std_logic; --SN紧急情况led1, led2, led3, led4 :out std_logic_vector (6 downto 0);--显示管显示时间用light:out std_logic_vector (5 downto 0)); --红绿黄灯end Hongld;architecture traffic1 of Hongld ISsignal S:std_logic_vector (1 downto 0); --状态signal DXT:std_logic_vector(7 downto 0):=X"01"; --东西方向时间signal NBX:std_logic_vector(7 downto 0):=X"01"; --南北方向时间signal ART,AGT,AYT,BRT,BGT,BYT: std_logic_vector(7 downto 0); --红绿黄灯信号signal temp: integer range 0 to 49999999; --产生1s计数器时计数signal clk: std_logic;beginART<="00100101";AGT<="00100000";AYT<="00000100";BRT<="00100101";BGT<="00100000";BYT<="00000100";process(clk1k) -- 选频率为50MHZ beginif (clk1k'event and clk1k='1') thenif temp=49999999 thentemp<=0;clk<='1';elsetemp<=temp+1;clk<='0';end if;end if;end process;process(clk,DXT,NBX) --状态转换进程beginif clk'event and clk ='1' thenif(DXT ="00000001")OR (NBX = "00000001") then S<=S+1;else S<=S;end if; --状态转换结束end if;end process;process (clk,SN,S) --倒计时模块beginif SN = '1' then DXT<=DXT; NBX<=NBX;elseif clk'event and clk='1' thenif (DXT="0000000") OR (NBX="00000000") thencase S ISwhen "00"=>DXT<=ART; NBX<=BGT; --南北红灯、东西绿灯when "01"=>NBX<=BYT; --南北红灯、东西黄灯when "10"=>DXT<=AGT; NBX<=BRT; --南北绿灯、东西红灯when "11"=>DXT<=AYT; --南北黄灯、东西红灯when others=>NULL;end case;end if;if DXT/="00000000" thenif DXT(3 downto 0)= "0000" thenDXT(3 downto 0)<="1001";DXT(7 downto 4)<=DXT(7 downto 4)-1;else DXT(3 downto 0)<=DXT(3 downto 0)-1;DXT(7 downto 4)<=DXT(7 downto 4);end if;end if;if NBX/="00000000" thenif NBX(3 downto 0)="0000" thenNBX(3 downto 0)<="1001";NBX(7 downto 4)<=NBX(7 downto 4)-1;else NBX(3 downto 0)<=NBX(3 downto 0)-1;NBX(7 downto 4)<=NBX(7 downto 4);end if;end if;end if;end if;end process; --倒计时模块结束process(DXT,NBX,S,SN) --显示模块begincase NBX(3 downto 0) iswhen "0000"=>led1<="1000000";when "0010"=>led1<="0100100"; when "0011"=>led1<="0110000"; when "0100"=>led1<="0011001"; when "0101"=>led1<="0010010"; when "0110"=>led1<="0000010"; when "0111"=>led1<="1111000"; when "1000"=>led1<="0000000"; when "1001"=>led1<="0010000"; when others=>led1<="1111111"; end case;case NBX(7 downto 4) iswhen "0000"=>led2<="1000000"; when "0001"=>led2<="1111001"; when "0010"=>led2<="0100100"; when "0011"=>led2<="0110000"; when "0100"=>led2<="0011001"; when "0101"=>led2<="0010010"; when "0110"=>led2<="0000010"; when "0111"=>led2<="1111000"; when "1000"=>led2<="0000000"; when "1001"=>led2<="0010000"; when others=>led2<="1111111"; end case;case DXT(3 downto 0) iswhen "0000"=>led3<="1000000"; when "0001"=>led3<="1111001"; when "0010"=>led3<="0100100"; when "0011"=>led3<="0110000"; when "0100"=>led3<="0011001"; when "0101"=>led3<="0010010"; when "0110"=>led3<="0000010"; when "0111"=>led3<="1111000"; when "1000"=>led3<="0000000"; when "1001"=>led3<="0010000"; when others=>led3<="1111111"; end case;case DXT(7 downto 4) iswhen "0000"=>led4<="1000000"; when "0001"=>led4<="1111001"; when "0010"=>led4<="0100100";when "0100"=>led4<="0011001";when "0101"=>led4<="0010010";when "0110"=>led4<="0000010";when "0111"=>led4<="1111000";when "1000"=>led4<="0000000";when "1001"=>led4<="0010000";when others=>led4<="1111111";end case;if SN ='1' then light<="001001";elsecase S ISwhen "00"=>light<="010001";when "01"=> light <="100001";when "10"=> light <="001010";when "11"=> light <="001100";when others=>NULL;end case;end if;end process;end traffic1;五.仿真波形图仿真时序波形图。

交通灯控制器设计

交通灯控制器设计

交通灯控制器随着各种交通工具的发展和交通指挥的需要,交通灯的诞生大大改善了城市交通状况。

本题将设计一个交通控制器,控制十字路口主、支两条道路的红、绿、黄三色灯,指挥车辆和行人安全通行。

一、 系统设计要求设计一个十字路口的交通灯控制器,能显示十字路口东西、南北两个方向的红、黄、绿灯的指示状态。

用两组红、黄、绿三种颜色的灯分别作为东西、南北两个方向的红、黄、绿灯。

变化规律为:东西绿灯亮,南北红灯亮→东西黄灯亮,南北红灯亮→东西红灯亮,南北绿灯亮→东西红灯亮,南北黄灯亮→东西绿灯亮,南北红灯亮……,这样依次循环。

南北方向是主干道车道,东西方向是支干道车道,要求两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒,支干道每次通行时间为20秒,时间可设置修改。

在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道。

要求交通灯控制器有复位功能,在复位信号使能的情况下能够实现交通灯的自动复位,并且要求所有交通灯的状态变化,包括复位信号引起的均发生在时钟脉冲的上升沿处。

主路主路支路支路二、 系统设计方案根据交通灯系统设计要求,可以用一个有限状态机来实现这个交通灯控制器。

首先根据功能要求,明确两组交通灯的状态,这两组交通灯总共有四种状态,我们用st0,st1,st2,st3来表示:st0表示主路绿灯亮、支路红灯亮;st1表示主路黄灯亮、支路红灯亮;st2表示主路红灯亮、支路绿灯亮;st3表示主路红灯亮、支路黄灯亮;根据上述四种状态描述列出的状态转换表如下:三、VHDL编程交通灯控制器系统的VHDL有限状态机实现如下:Library IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY traffic_ctrl ISGENERIC ( green1_cnt: INTEGER:=25; --定义主通道绿灯亮的时间yellow1_cnt: INTEGER:= 5; --定义主通道黄灯亮的时间green2_cnt: INTEGER:=15; --定义支路绿灯亮的时间yellow2_cnt: INTEGER:= 5); --定义支路黄灯亮的时间); PORT ( clk : IN STD_LOGIC;reset : IN STD_LOGIC;lgt1_red: OUT STD_LOGIC; --主通道红、黄、绿灯控制信号lgt1_yellow: OUT STD_LOGIC;lgt1_green: OUT STD_LOGIC;lgt2_red: OUT STD_LOGIC; --支路红、黄、绿灯控制信号lgt2_yellow: OUT STD_LOGIC;lgt2_green: OUT STD_LOGIC);END ENTITY traffic_ctrl;ARCHITECTURE rtl OF traffic_ctrl ISTYPE STATES IS --定义枚举类型,描述状态机各状态(st0, st1, st2, st3);SIGNAL state: STATES:=st0; --初始化状态SIGNAL cnt: integer range 0 to 30 :=1; --定义计数器SIGNAL cnt_enb: std_logic :='0'; --初始化计数器使能信号BEGINPROCESS (RESET, CLK) --当敏感信号RESET和CLK发生变化时,启动进程BEGINIF RESET='1' THEN --如果清零信号为有效state<=st0;cnt<=1;ELSIF (rising_edge(clk)) thenIF (cnt_enb='1') THEN --计数器计数cnt<=cnt+1;ELSEcnt<=1;end if;CASE state ISWHEN st0=> --主通道绿灯亮了一段时间时转换状态st1 IF (cnt=green1_cnt) THENstate<=st1;cnt<=1;ELSE state<=st0;END IF;WHEN st1=> --主通道黄灯亮了一段时间时转换状态st2 IF (cnt=yellow1_cnt) THENstate<=st2;cnt<=1;ELSE state<=st1;END IF;WHEN st2=> --支路绿灯亮了一段时间时转换状态st3 IF (cnt=green2_cnt) THENstate<=st3;cnt<=1;ELSE state<=st2;END IF;WHEN st3=> --支路黄灯亮了一段时间时转换状态st0 IF (cnt=yellow2_cnt) THENstate<=st0;cnt<=1;ELSE state<=st3;END IF;END CASE;END IF;END PROCESS;PROCESS (state)BEGINCASE state ISWHEN st0=> --st0表示主路绿灯亮、支路红灯亮lgt1_red<='0';lgt1_yellow<='0';lgt1_green<='1';lgt2_red<='1';lgt2_yellow<='0';lgt2_green<='0';cnt_enb<='1';IF(cnt=green1_cnt) then cnt_enb<='0';END if;WHEN st1=> --st1表示主路黄灯亮、支路红灯亮lgt1_red<='0';lgt1_yellow<='1';lgt1_green<='0';lgt2_red<='1';lgt2_yellow<='0';lgt2_green<='0';cnt_enb<='1';IF(cnt=yellow1_cnt) then cnt_enb<='0';END if;WHEN st2=> --st2示主路红灯亮、支路绿灯?lgt1_red<=’1’;lgt1_yellow<='0';lgt1_green<='0';lgt2_red<='0';lgt2_yellow<='0';lgt2_green<='1';cnt_enb<='1';IF(cnt=green2_cnt) then cnt_enb<='0';END if;WHEN st3=> --st3表示主路红灯亮、支路黄灯亮lgt1_red<='1';lgt1_yellow<='0';lgt1_green<='0';lgt2_red<='0';lgt2_yellow<='1';lgt2_green<='0';cnt_enb<='1';IF(cnt=yellow2_cnt) then cnt_enb<='0';END if;END CASE;END PROCESS;END rtl;。

行人自助过街交通灯系统设计与实现

行人自助过街交通灯系统设计与实现

摘要本设计是用STC89C52单片机控制的一个行人自助过街交通灯系统,实现机动车道由主机模块控制和人行横道由从机模块控制。

系统运行的各个时段的时间值通过主机的按键输入,然后通过JF24D-PA将主机设臵的时间值发送至从机,从而使主机和从机配合模拟显示交通灯系统;程序部分使用C语言编写,软件设计平台为Keil,经过仿真和电路组装调试,电路功能最终达到设计要求。

关键词单片机,交通灯,主机模块,从机模块,JF24D-PADesign and Realization ofPedestrian self-help crossing traffic light systemAbstractThis design is a Pedestrian self-help crossing traffic light system by the control of STC89C52 MCU, to achieve road vehicle controlled by the host module and the crosswalk controlled by the slave module. During each of the system operation time value through the key of host module input to, then through the JF24D - PA will sent the host module Settings time valule to the salve module ,so that the master and slave traffic light system with analog display. After simulation and circuit assembly debugging, the final circuit functions to meet the design requirements.Keywords MCU,traffic light,host module,slave module,JF24D-PA目录1.引言 (1)2.设计概述 (2)2.1.功能实现 (2)2.2 概要设计 (3)2.2.1主机模块 (3)2.2.2 从机模块 (3)3.硬件电路实现 (4)3.1 JF24D-PA简介 (4)3.2 主机模块 (4)3.2.1 主机模块用到的其它器件及作用 (5)3.2.2 主机模块硬件电路 (6)3.3 从机模块 (7)3.3.1 从机模块用到的其它器件及作用 (7)3.3.2 从机模块硬件电路 (8)4.软件系统设计 (9)4.1 主机模块中各程序功能及流程图 (9)4.1.1 主程序main.c (9)4.1.2 dat_treat.c (11)4.1.3 key.c (12)4.1.4 txd_rxd.c (13)4.1.5 send_display.c (14)4.1.6 eeprom.c (15)4.2 从机系统中各程序功能及流程图 (15)4.2.1 key.c (15)4.2.2 txd_rxd.c (15)5.调试 (16)6.结论 (17)致谢 (18)参考文献 (19)1.引言当前,城市交通问题对城市产生愈来愈大的压力,交通是城市的命脉。

人行道和车道指示灯PLC控制系统设计

人行道和车道指示灯PLC控制系统设计

人行道和车道指示灯P L C控制系统设计-CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN摘要:本设计主要介绍三菱FX系列可编程控制器,对人行道指示灯的控制,阐述控制方案。

实现人行道交通灯的方法这有多种方法,可以采用早期的模拟数字电路技术,或是模拟电路与数字电路的混合电路,随着科技发展,现在也采用可编程控制器来控制。

本设计主要-16MR-001型PLC作为核心控制器对人行道交通灯的控制设计.采用顺序功能图设计采FX2N法,设计出顺序功能图,梯形图指令,指令表程序,并进行程序调试.第1章可编程控制器概述1 .1 PLC 的定义特点.1.1.1 PLC 的定义可编程控制器是在传统顺序控制器的基础上引入微电子技术.计算机技术,自动控制技术和通信技术而形成的新型工业控制装置.早期的可编程控制器在功能上只能进行逻辑控制,因此称它为可编程逻辑控制器(Cprogrammable Logiccontroller .PLC) 随着技术的发展国外一些厂家采用微处理器(Microprocessor)作为中央处理单元,使其功能大大增强,现已经广泛应用于工业控制的各个领域.1980年美国电器制造商协会( NEMA)将它命名为可编程控制器由于个人计算机简称PC为避免混淆可编程控制器仍简称PLC.国际电工委员会(IEC)曾于1987年2月对可编程控制器的定义是:“可编程控制器是一种数字运算操作的电子系统,专为在工业环境下应用而设计.它采用了可编程的存储器,用来在其内部存储执行逻辑运算,顺序控制,定时,计数和计数操作等面向用户的指令,并通过数字式或模拟式的输入/输出,控制各种类型的机械或生产过程”PLC的特点1可靠性高,抗干扰能力强PLC是为工业控制而设计的,可靠性高,抗干扰能力强是它重要的特点之一。

PLC的平均无故障间隔时间可达几十万小时。

PLC在硬件和软件上均采用了提高可靠性的措施。

2编程简单、使用方便。

按钮式人行横道交通灯设计

按钮式人行横道交通灯设计

目录1.课程设计的目的 (1)2.课程设计的题目和要求 (1)2.1课程设计的题目 (1)2.2课程设计的要求 (1)3.课程设计内容 (2)3.1按钮人行道系统的CPU选择及I/O端口的分配 (2)3.1.1 CPU的选择 (2)3.1.2 I/O端口分配 (2)3.2按钮人行道系统的闪烁电路 (3)3.3按钮人行道系统的顺序功能图 (4)3.4按钮人行道系统的梯形图 (6)3.5调试 (9)4.设计总结 (9)1.课程设计的目的课程设计是对学生综合运用本门课程及相关知识解决问题能力的培养和训练,加深对知识的理解。

通过此次课程设计,主要训练和培养学生查询资料、方案的比较选择,以及运用简洁的文字,清晰的图表来表达自己设计思想等能力。

从而锻炼分析、解决实际问题的本领,真正实现由知识向智能的转化。

并且通过此综合训练,为以后毕业设计打下一定的基础。

2.课程设计的题目和要求2.1 课程设计的题目:按钮人行道系统设计2.2 课程设计的要求(1)熟悉题目,收集资料,明确课题要求,为设计工作做准备。

(2)总体设计,正确设计方案,完成硬件和软件的设计。

(3)画出PLC外部接线图,顺序功能图,时序图和梯形图,并作简要说明。

(4)写出系统调试结果,整理设计方案。

设计按钮式人行横道指示灯其工作示意图如图2.2.1所示图2.2.13.课程设计内容由于设计要求中信号灯亮有时间限制及闪烁,故采用定时器进行时间控制,闪烁电路完成闪烁,在程序中使用顺序控制继电器来控制灯的亮灭,利用自锁、互锁实现顺序控制。

在正常情况下公路上只允许车辆通行,车道保持绿灯,人行道也一直保持红灯,当有人需要过马路时,按下车道两侧设有的开关X0或X1,人行道收到信号送入端子任选SL-200 PLC中,SL-200 PLC在接受信号后开始执行相应程序。

3.1 按钮人行道系统的CPU选择及I/O端口的分配3.1.1 CPU的选择由于公路两侧各有一按钮,固有两个输入。

毕业设计65基于PLC的人行道按钮控制交通灯设计

毕业设计65基于PLC的人行道按钮控制交通灯设计

一、设计题目:人行道按钮控制交通灯设计二、流程图三、系统控制要求:1.系统上电启动后,红灯常亮2.当有行人过街信号按钮SB1按下,4S后红灯熄灭,绿灯点亮3.绿灯亮了5S后,黄灯灯闪烁4次(0.5S亮、0.5S灭)4.黄灯闪烁4次后,红灯又亮5.系统中设有启动和停止按钮四、控制系统的I/O点及地址分配控制系统的输入/输出信号的名称、代码及地址编号如表所列。

名称代码地址编号输入信号系统启动和复位按钮SB1 I0.0过街信号按钮SB2 I0.1输出信号红灯接触器及指示灯KM1,HL1 Q0.0绿灯接触器及指示灯KM2,HL2 Q0.1黄灯闪烁接触器及指示灯KM3,HL3 Q0.2五、PLC系统选型从上面分析可以知道,此横道线交通灯有开关输入点3个、开关输出点3个。

可以直接选用CPU221PLC;但是考虑到实际情况(实验中心只有CPU222型PLC)选用主机为CPU222(8输入/6继电器输出)一台则能够实现此横道线交通灯的系统配置。

六、电气控制系统原理图电气控制系统原理图包括主电路图、控制电路图及PLC外围接线图。

1.主电路图如图所示为电控系统主电路图。

接触器KM1、KM2、KM3分别控制红灯、绿灯、黄灯闪烁的运行2.控制电路图如图所示为电控系统控制电路图。

图中按钮SB1控制系统得电的启动3.PLC外围接线图如图所示为PLC外围接线图。

Q0.0接红灯,Q0.1接绿灯,Q0.2接黄灯七、系统程序控制设计STL语句NETWORK 1 //系统启动与复位////网络注释//LD I0.0O Q0.0O T40AN T38AN I0.2= Q0.0NETWORK 2 //横穿街道按钮按下定时13S //LD I0.1LPSAN T37= M0.0LPPTON T37, +130NETWORK 3 //红灯横穿街道按钮按下4S后熄灭//LD M0.0TON T38, +40NETWORK 4 //红灯在横穿街道按钮按下4S后绿灯亮//LD T38O Q0.1LPSAN T39= Q0.1LPPTON T39, +50NETWORK 5 //绿灯亮5S后黄灯闪烁4S//LD T39O M0.1LPSAN T40= M0.1LPPTON T40, +40NETWORK 6 //黄灯闪烁//LD M0.1AN T43TON T42, +5NETWORK 7 //黄灯闪烁//LD T42TON T41, +5NETWORK 8= Q0.2八、心得体会:通过这一周的PLC课程设计,使我对PLC这门课的知识有了更深入的了解。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊目录第1章概述 (1)1.1 研究背景 (1)1.2 设计的实际目的与意义 (2)1.2.1 设计的目的 (2)1.2.2 设计的意义 (3)1.3 行人过街设施 (3)1.3.1 跑道灯 (4)1.3.2 倒计时灯 (4)第2章系统设计方案 (5)2.1 系统总体方案 (5)2.2 硬件设计 (5)2.2.1 单片机简介 (5)2.2.2 单片机发展的三大阶段 (6)2.2.3 单片机的发展趋势 (6)2.2.4 单片机的应用 (8)2.2.6 本设计中所用单片机AT89C51 (9)2.2.7 AT89C51的主要特性 (9)2.2.8 AT89C51引脚排列及功能 (10)2.2.9 AT89C51最小系统电路 (11)2.3 主电路模块简介 (12)2.4 AT89C51 电路各功能模块的设计 (13)2.5 硬件系统功能原理 (14)第3章软件系统设计 (16)3.1 设计中所用到的编程语言 (16)3.1.1 Keil C51 简介 (16)3.1.2 汇编语言简介 (18)3.1.3 Keil C51与汇编语言的接口 (19)3.2 主要程序与流程 (20)3.3 各主要部分的软件设计 (21)3.4 Proteus软件仿真 (21)结论 (24)致谢 (25)附录A 程序代码 (27)附录B 系统原理图 (33)┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊第1章概述1.1 研究背景随着我国国民经济的迅猛发展,城市的经济贸易和社会活动日益繁忙,人员与社会交往日渐增多,使得原本就比较落后的交通基础设施供需矛盾更加突出,交通拥挤问题尤为严重,其中原因之一就是行人和机动车之间的冲突。

在现代交通系统中,步行交通系统无论是作为满足人们日常生活需要的一种独立的交通方式,还是作为其他各种交通方式相互连续的桥梁和补充,都是其他方式无法替代的辅助系统。

人类的活动还不能完全离开步行这种本能交通,在城市里上班、购物等活动中步行还占有相当大的比重。

目前,我国各大中城市都在紧张地进行人行立交设施的规划和建设,完善步行系统,尝试解决人车冲突问题,以期做到“以人为本”、“可持续发展”,但是现有的立交设施都不同程度的存在着问题。

主要体现在以下两个方面:(l) 大中型城市步行系统基础设施供需矛盾突出,普遍存在过街难的问题,行人车辆混行,事故频发。

(2) 穿越马路现象严重。

城市交通状况日益复杂,原有的“以车为主、以机动车交通为中心”越来越不能适应现有的交通状况,各种问题凸现出来。

在以人为本的城市交通理念下,关于城市道路过街立交设施设置的研究探讨己成为城市交通工程研究者面临的重要课题。

目前我国城市步行基础设施建设严重不足,供需矛盾突出,行人过街交通状况混乱,现有城市道路多采取人行横道的方式,虽然交通法规规定,车辆应自觉避让人行横道上的行人,但是对于我国一直以机动车交通为设计中心的现状,人车抢道问题严重,造成行人意识中人行横道概念淡漠,行人过街多随意穿越马路。

行人过街行为地点和时间的随意性,使多数路段存在人车混行,行人的安全得不到保障,同时横向步行交通的无规律性,也严重影响车辆的通行速度。

这也是我国城市道路上交通混乱与交通事故频发的重要原因之一。

现有步行交通问题主要体现在如下几个方面:(1) 人车冲突,带来安全隐患,交通事故频发。

很多车流量很大,车头间距不能满足过街行人安全穿行需要的路段,无人行立交设施建设,行人通过人行横道或直接穿越,交通混乱,机动车辆严重危及过街行人安全,引发交通事故。

(2) 人车冲突,交通延误增加,通行能力减小。

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊过街行人与车辆交通的交汇严重影响城市道路路段与交叉口的通行能力。

现状路段行人过街一般都无信号控制,行人从车间安全间隔穿越,由于行人穿越的随意性,大量的行人交通横穿道路,大幅度增加了交通延误,甚至造成路段交通暂时性的拥堵、停滞。

在有信号控制的路段问题依然存在,右转车辆不避让行人,阻碍行人通过,车辆也易造成拥堵。

(3) 人车冲突,频繁停车,交通污染严重。

机动车辆在行人平面过街处频繁地减速停车和加速时,尾气排放量大幅度增加,其中有害物质的种类和数量也都有所增加,对城市的局部气候造成不利影响。

由上面的问题不难看出,现状人行立交设施建设不足,平面横向交通带来的问题严重影响城市交通的发展,也与“以人为本,可持续发展”相抵触,急需对行人过街设施进行大量规划建设。

1.2 设计的实际目的与意义1.2.1 设计的目的设计一个单片机控制的行人过街手动控制交通灯模块的设计,从而锻炼自己的动手能力,深入了解一下交通灯的工作原理。

综合应用单片机原理、微机原理、微机接口技术等课程方面的知识,熟练掌握单片机仿真系统的使用方法,达到提高综合应用相关知识的能力,掌握单片机系统设计全部设计过程的目的。

⑴通过单片机课程设计,熟练掌握汇编语言的编程方法,将理论联系到实践中去,提高我们的动脑和动手的能力。

⑵通过行人过街手动控制交通灯模块的设计,掌握定时/计数器的使用方法,和简单程序的编写,最终提高我们的逻辑抽象能力。

实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC等方案来实现。

但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难。

因此,在本次设计中采用单片机技术,应用目前广泛应用的汇编语言描述,实现交通灯系统控制器的设计,完成系统的控制作用。

本系统选用AT89C51单片机,配合一些外围电路完成交通控制系统。

由于该单片机具有简单实用、高可靠性、良好的性能价格比以及体积小等优点,已经在各个技术领域得到了迅猛发展。

实现同样的功能,这个方案既简单又经济!该灯控制逻辑可实现3种颜色灯的交替点亮、时间的倒计时,指挥车辆和行人安全通行,实时地控制当前交通灯时间,使LED显示器进行倒计时工作并与状态灯保持同步,可在保证交通安全的前提下最大限度地提高交通效率,而且允许处理紧急情况的发生.由于本设计还有计时调整功能,最大限度提高了本设计的交通┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊灯应用的范围。

经PROTEUS仿真模拟的试验,该系统得到了预期的实时控制效果.本论文针对道路交通拥挤、交叉路口经常出现拥堵的情况,利用单片机控制技术,从硬件设计和软件设计两个方面分别介绍通用小型实时交通监控系统的设计方法。

1.2.2 设计的意义随着社会的发展,人们的消费水平不断的提高,私人车辆不断的增加。

人多、车多道路少的道路交通状况已经很明显了。

车辆的增加反映出了国家的整体进步,但是也给人民带来了其他的一些负面的影响。

我国是13亿多人口的大国,到2006年,全国的机动车保有量超过了8000万,而全国公路通车总里程只有14.8万公里。

静态比例为:人均车辆约0.5辆,而人均道路只有0.00011公里;每辆车均道路占有量约为0.002公里;且其中90%的道路属于机动车与非机动车和行人混杂。

今后几年机动车辆数字还在急剧增加,道路超负荷承载,致使交通事故逐年增加。

因此我们需要开发新型的交通控制系统。

随着社会经济的发展,城市化、城镇化进程的加快,道路交通堵塞问题也日趋严重,除了改善道路设施外,如何对交通进行合理的管理和调度也是重中之重。

单行道、各种交通灯的诞生都成了有效的措施,已经在国外不少大城市成熟运用的手动按钮行人信号灯近几年也陆续现身于国内各大城市的街头。

其出现曾被誉为将根本解决行人在非十字路口没有过街天桥、地下通道的路段顺利过马路问题的通行灯。

在国外的马路上,面对川流不息的车辆,想过马路的行人只要在斑马线旁按一下自控式红绿灯按钮,数十秒钟后,机动车道上红灯亮起,车辆停下让行人先过斑马线,等绿灯亮时再启动。

若无人过马路时,机动车道上的信号灯长时间亮绿灯,人行横道信号灯为长时间红灯,不影响机动车通行。

这一信号灯的诞生无疑对道路交通的有效疏导是一个革命性的进步,手动按钮行人信号灯的首要特点在于手控,它需要行人自己去控制信号灯以实现其价值。

手动按钮行人信号灯的诞生被誉为城市文明的产物,其普及程度也几乎等同于城市文明的试金石1.3 行人过街设施行人交通是城市交通的重要组成部分,而行人过街设施则是城市交通设施的重要组成部分。

然而目前中国重视城市交通系统中的车辆交通,轻视步行交通,对行人交通研究不足,许多城市存在行人过街设施设置不合理的现象,这┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊是导致行人违章穿越街道,造成交通混乱与交通事故的重要因素之一。

1.3.1 跑道灯当行人步入人行横道时,跑道灯照亮人行横道,汽车驾驶员在将近300米处就能看到。

这种系统通常使用在飞机跑道上,故称跑道灯。

跑道灯只有在行人通过人行横道时才会亮,所以它既可以提醒行人注意,又能使驾驶员保持警惕状态。

在一些国家,这种装置广泛地用于没有交通信号的十字路口和人行横道。

1.3.2 倒计时灯倒计时灯既可与信号灯配合使用,也可单独使用。

其作用是告诉行人和过往车辆距离信号灯变化还有多少时间,以便做出准确地判断,同时也避免了因犹豫不决而造成的心理恐慌。

倒计时灯的形式多种多样,既可以用计数器显示,也可以用进展条、饼形图等形式显示。

我国一些城市也已经安装了这种倒计时灯。

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊第2章系统设计方案2.1 系统总体方案交通灯在工作时应具有如下特点:红灯亮表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆禁止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。

通过老师的辅导,在规定的时间内完成行人过街手动控制交通灯模块的设计。

该行人过街手动交通灯模块的设计能够进行正常的交通模拟,能够在行人要过马路的情况下作出适当的处理,能够在车流特别拥挤的情况下使人顺利通行。

(1) A道没有人要经过的时候,B道上车辆可以一直行驶,B道一直显示绿灯。

(2)当A道有行人要经过的时候,在行人按键(实验时用开关K0、K1控制)15秒后交通灯显示开始变化,变成绿灯后行人可以经过,给行人过路的时间设为30秒。

(3)绿灯转换为红灯时黄灯亮15秒钟。

2.2 硬件设计2.2.1 单片机简介单片机是20世纪70年代中期发展起来的一种大规模集成电路器件。

它在一块芯片内芯片内集成了计算机的各种功能部件,构成一种单片式的微型计算机。

20世纪80年代以来,国际上单片机的发展迅速,其产品之多令人目不暇接,单片机应用不断深入,新技术层出不穷。

相关文档
最新文档