EDA小论文

合集下载

eda实验论文

eda实验论文

EDA电子实训乒乓球游戏机专业:电子信息科学与技术组长:赵林帆组员:何晨旭、孙毅指导教师:任国凤完成时间:2017年6月19日摘要 (1)1、EDA (2)1.1EDA简介 (2)1.2设计语言VHDL (2)2、乒乓球游戏机的设计 (2)2.1设计要求 (2)2.2设计说明 (3)2.2.1整体思路 (3)2.2.2具体设计思路 (4)3、编程 (5)3.1分频器模块 (5)3.2状态机编程设计 (6)3.3七段数码管显示 (13)3.4整体电路 (17)4、系统编译和仿真 (17)4.1仿真 (17)4.1.1球运动仿真 (17)4.1.2得分仿真 (17)4.1.3总仿真图 (17)4.2结果图 (18)5、心得与体会 (18)摘要EDA(电子设计自动化)技术是现代电子工程领域的一门新技术。

它提供了基于计算机和信息技术的电路系统设计方法。

EDA技术的发展和推广应用极大地推动了电子工业的发展。

乒乓球是中华人民共和国国球,是一种极为流行的球类体育项目。

随着科学技术的发展,人类进入信息化社会,信息社会的发展离不开电子产品的进步。

现代电子产品发展得越来越快,于是人们将乒乓球与电子产品结合在一起,形成了乒乓球游戏机。

通过拨码开关模拟球拍,发光二极管模拟乒乓球,进行游戏的操作。

关键词:EDA 电子工业信息社会乒乓球游戏机1、EDA1.1EDA简介EDA是电子设计自动化(ElectrONic Design AUTOMATION)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。

EDA技术论文

EDA技术论文

第1章 EDA技术随着大规模集成电路技术和计算机技术的不断发展,在涉及通信、国防、航天、医学、工业自动化、计算机应用、仪器仪表等领域的电子系统设计工作中,EDA技术的含量正以惊人的速度上升,电子类高新技术项目的开发也更加依赖于EDA技术的应用。

即使是普通的电子产品的开发,EDA技术常常使一些原来的技术瓶颈得以轻松突破,从而使产品的开发周期大为缩短、性能价格比大幅提高。

不言而喻,EDA技术将迅速成为电子设计领域中的极其重要的组成部分。

1.1 EDA技术的含义及特点EDA(Electronic Design Automation,电子系统设计自动化)技术是20世纪90年代初从CAD(计算机辅助设计),CAM(计算机辅助制造),CAT (计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。

现代EDA 技术就是以计算机为工具,在EDA软件平台上,根据硬件描述语言HDL完成的设计文件,能自动地完成用软件方式描述的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

设计者的工作仅限于利用软件的方式来完成对系统硬件功能的描述,在EDA工具的帮助下和应用相应的FPGA/CPLD器件,就可以得到最后的设计结果。

尽管目标系统是硬件,但整个设计和修改过程如同完成软件设计一样方便和高效。

[3]可见,利用EDA技术进行电子系统的设计具有以下几个特点:一是用软件的方式设计硬件;二是用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;三是采用自顶向下(top--down)的设计方法;四是设计过程中可用有关软件进行各种仿真;五是系统可现场编程,在线升级;六是整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。

因此,EDA代表了当今电子设计技术的最新发展方向。

1.2EDA技术的主要内容EDA技术涉及面很广,内容丰富,从教学和实用的角度看,主要应掌握如下个4个方面的内容:一是大规模可编程逻辑器件;二是硬件描述语言;三是软件开发工具;四是实验开发系统。

EDA流水灯论文(VHDL)

EDA流水灯论文(VHDL)

滨江学院课程论文(可编程器件原理与应用)题目基于VHDL语言的流水灯设计学生姓名王秋阳学号20082305047院系滨江学院专业电子与信息工程指导教师刘建成二零一零年十二月三十日一、任务:采用ALTERA 公司的EPM7128SLC84-10芯片,通过VHDL 语言设计一个流水灯电路。

流水灯样式必须大于3种,且可以通过按键调节显示样式;可以通过按键调节流水灯变化快慢;当前流水灯样式和变化速度能够通过数码管显示出来;(附加:具有按键声)二、设计框图(框图说明)1MHZ 周期信号经过2个100分频,得到100HZ ,再经过1个10分频得到10HZ 信号,传给速度控制模块,得到需要的速度周期信号,然后传给样式选择模块,样式选择模块直接输出彩灯样式;控制模块通过接受两个按键信号,同时控制速度控制模块和样式选择模块;译码扫描模块通过判断控制模块,扫描数码管显示当前彩灯样式和彩灯变化速度;按键信号通过延时模块输出按键发生信号。

速度按键 样式按键控制模块速度控制样式选择译码和扫描数码显示彩灯显示1000HZ 信号100HZ 信号蜂鸣器三、原理图(CPLD内部原理说明)从原理图中可以看到,一共有8种模块,D触发器的作用是对按钮进行消抖,除D触发器之外的7个模块功能及作用如下:f100和f10分别是100和10的分频器,speed模块的作用是对彩灯变化速度进行控制,而style_switch模块的作用是对彩灯样式进行调节。

Control 模块接收按键信号对样式和速度进行总的控制。

Show模块是对速度值和样式值进行译码并进行扫描数码管,将当前样式和速度状态显示出来。

Delay模块则是对按键声的延时。

四、各个模块设计(波形仿真)1.f100模块功能:100分频波形仿真:VHDL代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity f100 is --100分频port(clk:in std_logic;sec:out std_logic);end entity f100;architecture getsec of f100 issignal secout : std_logic :='1';beginprocess(clk) isvariable count100: integer range 0 to 50;beginif clk'event and clk='1' thencount100:=count100+1;if count100=50 thensecout<=not secout;count100:=0;end if;end if;end process;sec<=secout;end architecture getsec;2.f10模块功能:10分频波形仿真:VHDL代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity f10 is –-10分频port(clk:in std_logic;sec:out std_logic);end entity f10;architecture getsec of f10 issignal secout : std_logic :='1';beginprocess(clk) isvariable count10: integer range 0 to 5;beginif clk'event and clk='1' thencount10:=count10+1;if count10=5 thensecout<=not secout;count10:=0;end if;end if;end process;sec<=secout;end architecture getsec;3.speed模块功能:根据DATE输入端的数值大小,产生不同频率的周期信号,从而达到控制彩灯变化速率的目的。

EDA论文

EDA论文

VHDL与QuartusⅡ在EDA中的使用EDA是电子设计自动化(E1echonics;Des5p;AM·toM60n)的缩写。

从EDA技术的几个主要方面的内容来看,可以理解为:EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的式设计电子系统到硬件系统的一门新技术。

可以实现逻辑编译、逻辑化简、逻辑分割逻辑综合及优化,逻辑布局布线、逻辑仿真。

EDA技术研究的对象是电子设计的全过程有系统级、电路级和物理级各个层次的设计。

EDA主要采用并行工程和“自顶向下”的设计方法,然后从系统设计入手,在顶层进行功能方框图的划分和结构设计,在方框图一级进行仿真、纠错,并用VHDL等硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。

EDA 技术的发展至今经历了三个阶段:电子线路的CAD是EDA发展的初级阶段,具备了设计自动化的功能的中级阶段,称为电子系统设计自动化系统的高级阶段。

随着科技的进步,电子产品的更新日新月异,EDA技术作为电子产品开发研制的源动力,已成为现代电子设计的核心。

所以发展EDA技术将是电子设计领域和电子产业界的一场重大的技术革命,同时也对电类课程的教学和科研提出了更深更高的要求。

所以掌握和普及这一全新的技术,将对我国电子技术的发展具有深远的意义。

基于EDA技术的电子系统设计方法有电子系统电路级设计和系统级设计。

电子系统电路级设计:首先确定设计方案,同时要选择能实现该方案的合适元器件,然后根据具体的元器件设计电路原理图。

接着进行第一次仿真,包括数字电路的逻辑模拟、故障分析、模拟电路的交直流分析和瞬态分析。

系统在进行仿真时,必须要有元件模型库的支持,计算机上模拟的输入输出波形代替了实际电路调试中的信号源和示波器。

EDA结业论文

EDA结业论文

武汉职业技术学院课程结业论文论文题目:DDS信号源的设计姓名:张高所在院系:电子信息工程学院班级:通信12303班学号:12013582指导教师:虞沧武汉职业技术学院二〇一三年十二月目录封面 (1)目录 (2)摘要 (3)第一章:操作步骤 (4)第二章:设计框图 (5)第三章:各功能的模块程序编译 (9)第四章:列出仿真波形 (15)小结 (16)致谢 (17)参考文献 (17)摘要DDS是一种以全数字从相位概念出发直接合成所需波形的一种频率合成技术。

目前使用最广泛的方式是利用高速存储器作查找表,然后通过高速DAC输出已经用数字形式存入的正弦波。

包含ds_fen,dds_rom,dds_sins三个模块。

广泛应用于通信,雷达,测控,电子对抗以及现代化仪器仪表等领域,是一种为电子测量工作提供符合严格技术要求的电信号设备,和示波器、电压表、频率计等仪器一样是最普遍、最基本也是应用最广泛的的电子仪器之一,几乎所有电参量的测量都要用到信号发生器。

综上所述,不论是在生产还是在科研与教学上,信号发生器都是电子工程师信号仿真试验的最佳工具。

随着现代电子技术的飞速发展,现代电子测量工作对信号发生器的性能提出了更高的要求,不仅要求能产生正弦信号源、脉冲信号源,还能根据需要产生函数信号源和高频信号源。

第一章操作步骤1.编写DDS--fen、DDS--sin、DDS--rom三个模块的VHDL源代码。

见附录。

2.代码编译无误后打包保存好。

3.做DDS信号源的顶层文件。

dds_fen模块根据需要生成的信号频率值,产生对应的时钟信号,是DDS设计的核心部分。

clk为系统时钟;clr为清零信号;datain为所需频率值。

该模块根据datain提供的频率值,产生对应的后续模块的时钟信号。

在后续正弦波产生模块中需要提供的时钟信号为所需频率值的64倍,通过相位累加即可得所需频率。

第二章设计框图(1)dds_fen元件:(1)dds_sin模块实现正弦波地址数据输出dds_sin元件:(3)d ds_rom元件:(4)根据三个模块以及输入输出器件做成DDS信号源顶层文件,如下图DDS信号源顶层文件图DDS信号源外部接口端口说明clk:系统时钟clr:清零信号datain[19..0]:设定频率值dataout[7..0]:频率输出4、锁引脚,如下所示clk:N2clr:N25datain:N26、P25、AE14、AF14、AD13、AC13、C13、B13、A13、N1、P1、P2、T7、U3、U4、V1、V2dataout:D25、J22、E26、E25、F24、F23、J21、J20上图为时钟引脚和开关引脚下图为扩展端口引脚5、完成顶层文件设计,锁好引脚并编译通过后,保存文件,连接DE2开发板。

EDA论文

EDA论文

前言一 EDA简介EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CA T)和计算机辅助工程(CAE)的概念发展而来的。

EDA是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术的最新成果而开发出的电子CAD通用软件包,它根据硬件描述语言HDL 完成的设计文件,自动完成逻辑编译、化简、分割、综合、优化、布局布线及仿真,直至完成对于特定目标芯片的适配编译、逻辑映射和编程下载等。

二 EDA的发展前景随着微电子技术和计算机技术的不断发展,在涉及通信、国防、航天、工业自动化、仪器仪表等领域工作中,EDA技术的含量以惊人的速度上升,从而使它成为当今电子技术发展的前沿。

1、基于FPGA的DSP系统设计现代大容量、高速度的FPGA的出现,克服了上述方案的诸多不足。

在这些FPGA中,一般都内嵌有可配置的高速RAM、PLL、LVDS、LVTTL以及硬件乘法累加器等DSP模块。

用FPGA来实现数字信号处理可以很好地解决并行性和速度问题,而且其灵活的可配置特性,使得FPGA构成的DSP系统非常易于修改、易于测试及硬件升级。

2、计算机处理器设计EDA技术与FPGA在通信领域中的成功已是众所周知的事实了,而对于一般的处理器的实现也已司空见惯。

如利用硬件描述语言设计嵌入式系统处理器、各类CPU或单片机等,并以软核的形式在FPGA中实现。

但利用FPGA实现高性能的处理器,乃至超级计算机处理器的功能,不能不说是一项崭新的尝试。

目前,尽管基于EDA技术的计算机处理器的FPGA实现尚未进入全面的商业化开发阶段,但其研究和应用的成果却不得不令人深感FPGA在这一领域中的巨大潜力和广阔的市场。

3、与ASIC市场的竞争技术由于EDA技术是面向解决电子系统最基本最低层硬件实现问题的技术,因此就其发展趋势的横向看,势必涉及越来越广阔的电子技术及电子设计技术领域。

EDA 论文

武汉职业技术学院《EDA技术》课程结业论文论文题目:按键输入电路设计姓名:付昊所在院系:电子信息工程学院班级:电信12304班学号:12012830指导教师:虞沧武汉职业技术学院二〇一四年六月目录第一章EDA技术简介与VHDL语言第二章设计方法与步骤第三章设计程序及说明第四章程序仿真和验证摘要人类文明已进入到高度发达的信息化社会。

信息化社会的发展离不开电子信息产品开发技术、产品品质的提高和进步。

电子信息产品随着科学技术的进步,其电子器件和设计方法更新换代的速度日新月异。

实现这种进步的主要原因就是电子设计技术和电子制造技术的发展,其核心就是电子设计自动化(EDElectronic Design Automation)技术,EDA技术的发展和推广应用又极大地推动了电子信息产业的发展。

为保证电子系统设计的速度和质量,适应“第一时间推出产品”的设计要求,EDA技术正逐渐成为不可缺少的一项先进技术和重要工具。

目前,在国内电子技术教学和产业界的技术推广中已形成“EDA 热”,完全可以说,掌握EDA技术是电子信息类专业学生、工程技术人员所必备的基本能力和技能。

本设计主要利用VHDL硬件描述语言在EDA平台Quartus II上设计一个4×4阵列键盘扫描电路,将行扫描信号输入阵列键盘,读取列信号的值,输出按键编码,从而判断出按键按下的位置。

并且使用Modelsim软件进行模拟仿真,下载到EDA实验箱进行硬件证。

关键词:EDA VHDL语言 4×4阵列键盘扫描第一章EDA技术简介与VHDL语言1.1 EDA技术简介1.1.1 EDA技术含义EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

eda论文.

南京理工大学EDA设计(Ⅰ)实验报告作者: 学号:学院(系):专业:指导老师:实验日期:实验一单级放大电路的设计与仿真一、实验目的1.掌握放大电路静态工作点的调整和测试方法。

2.掌握放大电路的动态参数的测试方法。

3.观察静态工作点的选择对输出波形及电压放大倍数的影响。

二、实验内容1.设计一个分压偏置的单管电压放大电路,要求信号源频率5kHz(幅度1mV) ,负载电阻5.1kΩ,电压增益大于50。

2. 调节电路静态工作点(调节电位计),观察电路出现饱和失真和截止失真的输出信号波形,并测试对应的静态工作点值。

3.调节电路静态工作点(调节电位计),使电路输出信号不失真,并且幅度最大。

在此状态下测试:电路静态工作点值;电路的输入电阻、输出电阻和电压增益;电路的频率响应曲线和fL、fH值。

三、实验要求1.设计一个分压偏置的单管电压放大电路,要求信号源频率5kHz(幅度1mV) ,负载电阻5.1kΩ,电压增益大于50。

2.调节电路静态工作点(调节电位计),观察电路出现饱和失真和截止失真的输出信号波形,并测试对应的静态工作点值。

3.调节电路静态工作点(调节电位计),使电路输出信号不失真,并且幅度最大。

在此状态下测试:电路静态工作点值;电路的输入电阻、输出电阻和电压增益;电路的频率响应曲线和fL、fH值。

四、实验步骤一.单级放大电路原理图二.放大电路静态工作点分析1、饱和失真(饱和失真。

滑动变阻器调到0%,信号源电压10mV)2)静态工作点参数Ib=76.18012u Ic=819.25941m Uce=63.17805m2、截止失真(截止失真。

滑动变阻器调到100%,信号源电压50mV)3.不失真Ib=5.58529u Ic=615.31797u Uce=3.35120 Ube=617.74726m三、测量输入输出电阻和电压增益1、输入电阻输入电阻实验值:R i =U i /I i =10mV/2.522uA=3.965k Ω2、输出电阻输出电阻实验值:R 0=U 0/I 0=10mV/1.404μA=7.122K Ω3、电压增益电压增益测量值:Au=68.924 四、电路的频率特性由图可知,f L=350.6399Hz f H=7.9519MHz实验小结:由数据分析知,此次试验存在较小误差,但是在误差允许的范围之内。

EDA技术应用于数字电子技术的实践教学论文

EDA技术应用于数字电子技术的实践教学论文近年来,电子业开展非常迅速,已成为我国一大支柱产业,而电子技术的开展一定程度上取决于数字技术的开展,伴随大规模集成电路及可编程逻辑器件的迅速开展,针对传统电子技术实验教学存在的弊端,有必要对数字电子技术实践教学进展改革,基于EDA 技术的数字电子技术实验教学改革优势凸显,既提高了学生实验动手设计的实践能力,又培养了学生的创新思维,同时提高了这门课程的教学质量,改革成果显而易见。

EDA(ElectronicDesignAutomation)是指以计算机作为设计平台,综合运用计算机技术、最新电子技术及最新智能化技术先进研究成果研制出的电子CAD通用软件包。

EDA技术先后开展经历了三个阶段,从20世纪70年代的CAD阶段到80年代的CAE阶段,再到90年代的EDA阶段,代表着当今电子技术开展最新方向。

由于所涉及内容广泛,且内容较为丰富,所以没有确切的定义。

可以这样理解,EDA技术是以大规模的可编程逻辑器件作为设计的载体,以硬件描述语言为系统逻辑描述为主要表达方式,基于计算机及大规模可编程逻辑器件的开发软件及实验系统为设计工具,通过相关的开发软件,自动完成通过软件设计实现电子系统到硬件系统的一门新技术。

可实现逻辑编译、化简、分割,及逻辑综合优化、逻辑布局布线、逻辑仿真等功能,完成针对特定目标芯片的适配编译、逻辑映射及编程下载等工作,最终形成集成电子系统或专用集成芯片。

数字电子技术课程实践教学主要分为两局部,即实验教学这一块,还有课程设计与实习这一块的内容。

传统型实验教学环节流程一般是,先要针对实验内容涉及用到的设备器材做准备工作,主要是各种芯片之类的,还要检验芯片本身是否有损坏的情况,还有各种信号连线等,以及数字系统的实验箱等仪器设备。

准备齐全后,开始实验过程中,按照指定的线路连线图进展连接,不断改变输入状态同时记录好相应的输出变化,最后验证是否与理论上相符合。

EDA技术在电子工程设计中的应用论文

EDA技术在电子工程设计中的应用论文随着时代的进步,科技网络等不断的发展,人类进入到信息高速发展的时代,电子产品成为人们必须具备的生活用品,电子产品以及各项附加的功能让人们的生活变得更加精彩,以下是“EDA技术在电子工程设计中的应用论文”希望能够帮助的到您!1.EDA技术的概念和特点1.1EDA技术的概述EDA技术,简称电子设计自动化技术,所指的就是以计算机为工作的主要载体,把计算机技术、信息处理技术、应用电子技术以及信息智能化技术等技术发展中的最高研究效果充分运用到工作领域中,实现自动设计完成电子产品。

最初在发展中并没有EDA技术的概念,它是由可编程逻辑器件发展而来的,可以说,EDA技术是可编程逻辑器件的发展和延伸。

在EDA技术的历史发展过程中,最初是通过技术人员手动完成设计、布线等集成电路的工作,当然,这也是历史的局限性造成的,在手工完成集成电路的年代,电子集成电路相对要简单很多,然后,在二十世纪七十年代时,科技人员开始试图进行电子设计自动化的初步开发,在不断的实践中,终于实现了电子设计自动化技术的初步运用,随后在五年的时间中,电子设计自动化完成了重要的创新和,逐渐取得了重大的进步,在不断的发展中,电子设计自动化技术逐步被应用形成了商业化的应用,并在电子工程设计中取得了很大的成就。

时至今日,电子设计自动化技术在电子工程设计的'过程中,出现错误的频率不断降低,促进了电子工程设计的不断发展。

EDA技术的在不断的发展过程中,不仅仅在电子工程设计中取得了重大的成就,在航空航天、机械、化工等许多领域,都实现了EDA技术的应用,并且取得的成就也非常的令人瞩目,鉴于EDA技术应用的广泛性,必须主动研究在电子工程设计中运用EDA技术,促进电子工程设计不断发展,有效实现电子女工程设计水平的提升。

1.2EDA技术的主要特点2.EDA技术在电子工程设计中的应用2.1在电路性能优化中运用EDA技术现阶段,EDA技术在电子工程设计以及各个领域都取得了重大的进展,应用的领域也不局限于传统的范畴,在许多新型的行业中,EDA技术也取得一些进展,根据目前的情况分析,EDA技术在电子工程设计领域取得的成就非常令人瞩目,下面主要分析电子工程领域中EDA技术的不断应用,促进了电路性能的不断优化,电子产品之所以能够在众多的产品中脱颖而出,是由于它能够不断实现不同功能的应用,但是实现这些不同功能应用归根结底是由于EDA技术有效实现了电路性能的不断优化。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

论EDA技术及EDA的发展动向学院学号姓名指导教师使用教材EDA技术与可编程器件的应用编写时间论EDA技术及EDA的发展动向摘要:随着计算机技术和微电子技术的不断发展,在涉及国防、通信、工业自动化、航天、仪器仪表等领域工作中,EDA技术的含量以惊人的速度上升,从而使它成为当今电子技术发展的前沿之一。

本文首先介绍了EDA 技术的基本概念, 然后从软件设计和硬件实现方面阐述了现代EDA技术的基本特征, 最后分析了EDA 技术的发展动向。

关键字:EDA,ASIC,FPGA,硬件描述语言0、引言随着计算机技术和微电子技术的不断发展,由于在电子系统设计领域中的明显优势,基于大规模可编程器件解决方案的EDA技术及其应用在近年中有了巨大的发展,将电子设计技术再次推向又一崭新的历史阶段。

这些新的发展大致包括这样6个方面:①新器件;②新工具软件;③嵌入式系统设计;④DSP系统设计;⑤计算机处理器设计;⑥与ASIC市场的竞争技术。

1、EDA介绍EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

EDA技术是利用计算机工作平台,从事电子系统和电路设计的一项新技术。

它是由电子CAD发展起来的,是计算机信息技术,微电子技术,计算机图形学,电路理论,信号分析与信号处理等理论和技术的结晶。

EDA与传统设计相比,其优点可归纳为:(1)设计效率高,设计周期短。

(2)提高了设计质量。

(3)降低设计成本。

(4)充分发挥设计人员的创造性。

目前市场上有很多的EDA软件,包括Quartus II、EwB等。

[1]在实际电路设计中用得最多的是Electronics Workbench(EWB)系列EDA软件,包括电路仿真软件和印制板设计软件。

尤其是多种可放置到设计电路中的虚拟仪表,应用该软件用户就如同拥有了一个元器件种类齐全、仪器先进的电子实验室,可以进行仿真实验与产品设计。

从而使电路仿真分析操作更符合电子工程技术人员的试验工作习惯。

2、EDA技术的基本特征及概念EDA代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(VHDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次的电子设计方法。

下面介绍与EDA基本特征有关的几个概念。

2-1、“自顶向下”的设计方法几年前,电子设计的基本思路还是选用标准集成电路“自底向上”地构造出一个新的系统,这样的设计方法就如同一砖一瓦建造金字塔,不仅效率低、成本高而且容易出错。

2-2、ASIC设计现代电子产品的复杂度日益提高,一个电子系统可能由数万个中小规模集成电路构成,这就带来了体积大、功耗大、可靠性差的问题。

解决这一问题的有效方法就是采用ASIC芯片进行设计。

ASIC按照设计方法的不同可分为全定制ASIC、半定制ASIC 和可编程ASIC(也称为可编程逻辑器件)。

全定制ASIC芯片的设计方法的优点是芯片可以获得最优的性能,即面积利用率高、速度快、功耗低,而缺点是开发周期长,费用高,只适合大批量产品开发。

半定制ASIC芯片的版图设计方法分为门阵列设计法和标准单元设计法,这两种方法都是约束性的设计方法,其主要目的就是简化设计,以牺牲芯片性能为代价来缩短开发时间。

可编程逻辑芯片与上述ASIC的不同之处在于:设计人员完成版图设计后,在实验室内就可以烧制出自己的芯片,无须IC厂家的参与,大大缩短了开发周期。

2-3、硬件描述语言硬件描述语言(VHDL)是一种用于设计硬件电子系统的计算机语言,它用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式,与传统的门级描述方式相比,它更适合大规模系统的设计。

VHDL是一种全方位的硬件描述语言,包括系统行为级、寄存器传输级和逻辑门级多个设计层次,支持结构、数据流和行为三种描述形式的混合描述,整个自顶向下或自底向上的电路设计过程都可以用VHDL来完成。

VHDL还具有以下优点:(1)VHDL的宽范围描述能力使它成为高层次设计的核心,将设计人员的工作重心提高到了系统功能的实现与调试,而花较少的精力于物理实现。

(2)VHDL可以用简洁明确的代码描述来进行复杂控制逻辑的设计,灵活且方便,而且也便于设计结果的交流、保存和重用。

(3)VHDL的设计不依赖于特定的器件,方便了工艺的转换。

(4)VHDL是一个标准语言,为众多的EDA厂商支持,因此移植性好。

[2]2-4、EDA系统框架结构EDA系统框架结构(Framework)是一套配置和使用EDA软件包的规范。

目前主要的EDA系统都建立了框架结构,如Cadence公司的DesignFramework,Mentor公司的FalconFramework,而且这些框架结构都遵守国际CFI组织制定的统一技术标准。

框架结构能将来自不同EDA厂商的工具软件进行优化组合,集成在一个易于管理的统一的环境之下,而且还支持任务之间、设计师之间以及整个产品开发过程中的信息传输与共享,是并行工程和自顶向下设计方法的实现基础。

[1]3、EDA技术的发展动向3-1、新器件由于市场产品的需求和市场竞争的促进,成熟的EDA工具所能支持的,同时标志着最新EDA工具所能支持的,同时标志着最新EDA技术发展成果的新器件不断涌现,其特点主要表现为:(1)大规模。

(2)低功耗(3)模拟可编程。

(4)含多种专用端口和附加功能模块的FPGA。

3-2、新工具软件为了适应更大规模FPGA的开发,包括片上系统的DSP的开发,除了第三方EDA公司不断更新的通用EDA工具外,主要PLD供应商也相继推出,并适时升级其EDA开发工具。

3-3、在FPGA中植入嵌入式系统处理器目前最为常用的嵌入式系统大多采用了含有ARM的32位知识产权处理器核的器件。

但是,这种将IP硬核植入FPGA的解决方案存在5种不够完美之处。

但是如果利用软核嵌入式系统处理器就能有效地解决那五种不利因素。

它们分别是Altera的Nios核与Xilinx的Micro Blaze。

在开发工具的完备性方面、对常用的嵌入式操作系统支持方面,Nios都优于Micro Blaze。

就成本而言,Nios的使用费仅仅是其占用的FPGA的逻辑资源费。

因此,选用的FPGA越便宜,则Nios的使用费就越便宜。

3-4、基于FPGA的DSP系统设计在这去很长一段时间内,DSP处理器(如T1的TMS320系列)是DSP应用系统核心器件的唯一选择。

尽管DSP处理器具有通过软件设计能适用于不同功能实现的灵活性,但面对当今迅速变化的DSP应用市场,特别是面对现代能信技术的发展,早已显得力不从心了。

现代大容量、高速度的FPGA的出现,克服了上述方案的诸多不足。

在这些FPGA中,一般都内嵌有可配置的高速RAM、LVTTL以及硬件乘法累加器等DSP模块。

用FPGA来实现数字信号处理可以很好地解决并行性和速度问题,而且其灵活的可配置特性,使得FPGA构成的DSP系统非常易于修改、易于测试及硬件升级。

[3]3-5、计算机处理器设计EDA技术与FPGA在通信领域中的成功已是众所周知的事实了,而对于一般的处理器的实现也已司空见惯。

如利用硬件描述语言设计嵌入式系统处理器、各类CPU或单片机等,并以软核的形式在FPGA中实现。

但利用FPGA实现高性能的处理器,乃至超级计算机处理器的功能,不能不说是一项崭新的尝试。

目前,尽管基于EDA技术的计算机处理器的FPGA 实现尚未进入全面的商业化开发阶段,但其研究和应用的成果却不得不令人深感FPGA在这一领域中的巨大潜力和广阔的市场。

3-6、与ASIC市场的竞争技术尽管EDA技术开发对象是ASIC和FPGA,但它们在应用领域中的优势和劣势的对比历来十分鲜明。

然而在近年来,随着EDA开发工具功能的不断加强,FPGA器件性能的提高,这种对比在许多方面正在趋于模糊。

一方面,相对于ASIC应用市场,具有竞争力的FPGA器件的出现,使FPGA原来在单片成本、逻辑规模和工作速度等方面相对于ASIC的劣势越来越小,而其巨大的灵活性、现场可配置性、良好的设计效率和成功率,使得FPGA 成为ASIC市场竞争者的地位不断强化。

Altera推出的Cyclone系列FPGA和Xilinx推出的Spartan-3系列FPGA都称为此类大规模可编程器件的代表。

[4]另一方面,通过强化EDA 工具的设计能力,在保持FPGA开发优势的前提下,引入ASIC的开发流程,从而对ASIC 市场形成直接竞争。

这就是Altera推出的HardCopy技术。

4、结束语EDA技术是电子设计领域的一场革命,目前正处于高速发展阶段,每年都有新的EDA 工具问世。

广大电子工程人员掌握这一先进技术,这不仅是提高设计效率的需要,更是我国电子工业在世界市场上生存、竞争与发展的需要。

参考文献[1] 王紫婷.EDA技术及应用.兰州:兰州大学出版社,2003[2] 包明.EDA技术与可编程器件的应用.北京:北京航空航天大学出版社,2007[3] 王锁平, 龚建荣. EDA 技术及发展趋势[J ]. 电子世界,2002 (12)[4] ALTERA.Introduction to the Quartus II:Software[M].2008.Altera Corporation:1—247.。

相关文档
最新文档