《数字系统设计》试题

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

end Selector1;
第 architecture ( SEL ) begin if SEL = "00" then 三、问答题(共 40 分,每小题 8 分) 1. 什么是 Top-down 设计方法?什么是 Bottom-up 设计方法? 2. 功能仿真与时序仿真有何区别? 3. 什么叫进程?简述进程的工作方式。 4. 什么是 VHDL 的数据对象?数据对象有哪几个类型? 5. 怎样用 VHDL 语言描述时钟 clk 信号的上升沿和下降沿? Selector1_arch of Selector1 is
页 共

4.信号。变量。常量。VHDL 的数据对象包括常量(constant)、变量(varuable)和信号 (signal) ,它们是用来存放各种类型数据的容器。 5。clock’ event and clock=’1’ clock’ event and clock=’0’
1.自顶而下的设计方法. 自下而上的设计方法. 2.EDA 中功能仿真是纯理论的仿真,功能仿真不考虑信号传送过程中的延迟。仿真结果可以 和我们的真值表对应起来。而时序仿真则要考虑信号传送过程中的延迟,有可能出现竞争冒 险等。时序仿真比较接近实际。 3。 :进程(process)是由外部信号触发执行的一段程序。进程语句是并行处理语句,即各 个进程是同时处理的,在结构体中多个 Process 语句是同时并发运行的。在进程内部是顺序 执行的。Process 语句在 VHDL 程序中,是描述硬件并行工作行为的最常用、最基本的语句。 进程 Process 语句中一般带有几个信号量例表,称为该进程的敏感量表。这些信号无论哪一 个发生变化都将启动 Process 进程。一旦启动,进程 Process 中的程序将从上到下顺序执行 一遍,由新变化的量引导进程产生变化结果输出。当进程的最后一个语句执行完成后,就返 回到进程开始处,等待敏感量的新变化,引发进程的再一次执行。周而复始,循环往复,以 至无穷。这就是进程的执行过
仿真后的信号加载了时延
来自百度文库
而时序仿真是指 设计实现后对所创建的逻辑进行的验证其功能是否正确的过程 因此又称 后仿真 计 9. 在 EDA 中,IP 的中文含义是 8. 边 界 扫 描 技 术 主 要 用 于
elsif bcd_led="0001" then ledseg<="0110000"; elsif bcd_led="0010" then ledseg<=" elsif bcd_led="0100" then ledseg<=" elsif bcd_led="0101" then ledseg<=" elsif bcd_led="0111" then ledseg<=" elsif bcd_led="1000" then ledseg<=" else ledseg<= ; "; "; "; " ; "; elsif bcd_led="0011" then ledseg<="1111001";
第 西安工业大学试题纸 学年学期 2012——2013 第 2 学期 课程名 称 命题教师 程 光 伟 使用班级 100301-100309 考试时 间 审 批 考试形 式 开卷/闭 卷 考 试 类 型 考 试 地 点 学 号 备 注 一、 选择题(23 分,每小题 1 分) 1. 一个项目的输入输出端口是定义在 A. 实体中 A. a_2_3 B. 结构体中 B. a_ _2 2. 符合 1987VHDL 标准的标识符是 3. 1987 标准的 VHDL 语言对大小写是 A. 敏感的 A. a2b2 C. ad12 B. 只能用小写 B. a1b1 D. %50 D 。 B. 实体中特定位置 D. 结构体中特定位置 D 。 B. 实体中特定位置 D. 结构体中特定位置 B C. 配置 A D C. 实体 。 D. 进程 。 D. 配置 。 4. 不符合 1987VHDL 标准的标识符是 D A D A 。 D. 2a 。 D. 不敏感 。 。 D. 进程体 C. 任何位置 C. 2_2_a 教 6fj2 考查 数 字 系 统 设 计 11. STD_LOGIC_1164 中定义的高阻和弱信号 1 的字符分别是 C A. X,H A.0 B. X,1 B.1 C. Z,H C.2 D. Z,1
2013-5-31 9-10 节
B. 关系运算和数据类型无关 D. 前面的说法都错误 表示检测 clock 下降沿。
线
学生班级


B. clock’ event and clock=’1’ D. clock’ event and clock=’0’ B 。 B. 必须在库和包集合中声明 D. 必须在结构体中声明 。 C. 线粒体 。 C.PROCESS 语句 。 C. X=A+B; D. 前面的都不正确 。 D.CASE 语句 D. 种子体中
20. 在 VHDL 的 CASE 语句中,条件句中的“=>”相当于 B 21. 在 VHDL 中,PROCESS 本身是
22. 下面哪一个可以用作 VHDL 中的合法的实体名
23. 在 VHDL 中,语句”FOR I IN 0 TO 7 LOOP ”定义循环次数为 A
B. 数据类型相同才能进行运算
10. 在 VHDL 中,语句”FOR I IN 0 TO 7 LOOP ”定义循环次数为 11.在下面横线上填上合适的 VHDL 关键词,试问此程序描述的 library ieee; use ieee.std_logic_1164.all; entity port Selector1 is
elsif bcd_led="0110" then ledseg<="1011111";
( A, B, C, D : in std_logic_vector(3 downto 0); Q : out std_logic_vector(3 downto 0); SEL : in std_logic_vector(1 downto 0));
elsif bcd_led="1001" then ledseg<="1111011"; end if; end process; end behavior;
B. 可以放在子程序中 D. 前面的说法都正确 作用。 C. AND C C.并行 C. SIGNAL C. 0 D. 1 D. OR 语句。 D.任何 D 。 次。 D.OUT1

6. VHDL 语言中信号定义的位置是 A. 实体中任何位置 C. 结构体中任何位置 7. 描述项目具有逻辑功能的是 A. 实体 A. 结构体 B. 结构体 B. 进程 8. 关键字 ARCHITECTURE 定义的是 9. 关于 VHDL 数据类型,正确的是 A. 数据类型不同不能进行运算
页 共 。 。

12. 如果 a=1,b=0,则逻辑表达式(a AND b) OR( NOT b AND a)的值是 B D.不确定 C 。 13. 关于关系运算符的说法正确的是 A. 不能进行关系运算 C. 关系运算数据类型要相同 14. 在 VHDL 中,可以用语句 A. clock’event C. clock=’0’ A. 可以直接调用 C. 必须在实体中声明 16. 变量是局部量可以写在 A. 实体中 A.IF 语句 A. X<=A+B; B. 进程中 B B.LOOP 语句 B. X:=A+b; 17. 不属于顺序语句的是 B D
第 C. 数据类型相同或相符就可以运算 10. 在 VHDL 中,PROCESS 结构内部是由 A A.顺序 B. 顺序和并行 C. 并行 二、 填空题(37 分,每一空 1 分) 1. EDA 的中文含义是 电子设计自动化 PLD 。 。 。 2. 可编程逻辑器件的英文简称是 D. 运算与数据类型无关 语句组成的。 D.任何 elsif SEL = "01" then Q <= B; elsif SEL = "10" then Q <= C; else end if; Q <= D; end process; end Selector1_arch;
页 共

3. 现场可编程门阵列的英文简称是 FPGA 许编程 一次 ,编程后器件的内容不能
4.一次性编程(One Time Programmable)器件中有 CPLD 和 FPGA 两种编程器件,它们只允 更改 。
12. 在下面横线上填上合适的语句,完成 bcd-7 段 led 显示译码器的设计。 library ieee ; use ieee.std_logic_1164.all; entity bcd_7seg is port( bcd_led : in std_logic_vector(3 downto 0); ledseg : out std_logic_vector(6 downto 0)); end bcd_7seg; architecture behavior of bcd_7seg is begin process( begin case bcd_led is if bcd_led="0000" then ledseg<="1111110"; bcd_led )
15. 使用 STD_LOGIG_1164 使用的数据类型时

C. 只能用大写
18. 正确给变量 X 赋值的语句是 B
5. VHDL 语言中变量定义的位置是 A. 实体中中任何位置 C. 结构体中任何位置
19. VHDL 中顺序语句放置位置说法正确的是 A. 可以放在进程语句中 C. 不能放在任意位置 A. IF A.顺序 A. OR A. 8 Q <= A; B. THEN B.顺序和并行 B. VARIABLE B. 7
5. EPROM 器件是采用 紫外线擦除器
2
进行擦除,采用 EPROM 编程 器 对器件编程。 和 可编程内部连线
对器件编程;
而 E PROM 器件则采用 电可擦除 进行擦除,采用 I2C 总线 块 称为 前仿真 6. 一 般 CPLD 器 件 中 至 少 包 含 了 三 种 可 编 程 部 分 , 它 们 分 别 是 : 可 编 程 功 能 、 可编程 I/O 模块 。 ,因此又 。 , 7.功能仿真是指 设计实现前对所创建的逻辑进行的验证其功能是否正确的过程 ,此时的仿真没有在仿真结果波形图中 或 延时仿真 。 知识产权核 。 8 次。 选择器。 。 数字集成电路器件的标准化可测试性设
相关文档
最新文档