数字时钟课程设计--数字钟的设计

合集下载

数字钟课程设计书

数字钟课程设计书

数字钟课程设计书一、教学目标本课程旨在让学生了解数字钟的基本原理和制作方法,掌握基本的电子电路知识和编程技能,培养学生动手实践能力和创新思维。

具体目标如下:1.知识目标:(1)了解数字钟的工作原理;(2)掌握常用的电子元器件及其功能;(3)学习基本的编程语言,能够编写简单的控制程序。

2.技能目标:(1)能够独立完成数字钟的电路设计和搭建;(2)能够编写程序实现数字钟的功能;(3)培养学生的团队协作能力和问题解决能力。

3.情感态度价值观目标:(1)培养学生对科学的热爱和探索精神;(2)培养学生动手实践的兴趣,提高学生的自信心;(3)培养学生团队协作意识,增强学生的社会责任感。

二、教学内容本课程的教学内容主要包括数字钟的工作原理、电子电路设计、编程控制等方面。

具体安排如下:1.数字钟的工作原理:介绍数字钟的基本构成,时钟芯片的使用方法,以及数字显示电路的原理。

2.电子电路设计:学习常用的电子元器件,如电阻、电容、二极管、三极管等,并掌握其功能;学习电路图的绘制方法,能够根据需求设计简单的电子电路。

3.编程控制:学习基本的编程语言,如C语言或Python语言,掌握编程的基本方法;学习如何通过编程控制数字钟的显示和功能。

三、教学方法本课程采用讲授法、讨论法、实验法等多种教学方法,以激发学生的学习兴趣和主动性。

1.讲授法:用于讲解数字钟的工作原理、电子电路设计的基本知识、编程控制的方法等;2.讨论法:学生进行小组讨论,分享学习心得,提高学生的思考能力和团队协作能力;3.实验法:安排学生进行数字钟的动手制作,培养学生的实践能力和创新能力。

四、教学资源本课程所需教学资源包括教材、实验设备、多媒体资料等。

1.教材:选用正规出版的数字钟制作教材,为学生提供系统的学习资料;2.实验设备:准备数字钟制作所需的电路元器件、编程器、实验板等设备,保证学生能够动手实践;3.多媒体资料:制作课件、视频等资料,为学生提供直观的学习体验。

eda数字钟的设计课程设计

eda数字钟的设计课程设计

eda数字钟的设计课程设计一、课程目标知识目标:1. 让学生掌握EDA技术的基本原理和应用;2. 使学生了解数字时钟的工作原理,掌握其设计方法;3. 帮助学生理解数字电路的基本组成,掌握常用数字电路元件的功能和使用方法。

技能目标:1. 培养学生运用EDA软件进行数字电路设计的能力;2. 提高学生动手实践能力,能够独立完成数字钟的搭建和调试;3. 培养学生分析问题和解决问题的能力,学会运用所学知识解决实际工程问题。

情感态度价值观目标:1. 激发学生对电子工程领域的兴趣,培养其探索精神和创新意识;2. 培养学生良好的团队合作精神和沟通能力,学会在团队中发挥个人作用;3. 培养学生具备严谨的科学态度,注重实践操作的安全性和环保意识。

分析课程性质、学生特点和教学要求,本课程旨在通过数字钟的设计与实现,使学生在掌握基本理论知识的基础上,提高实践操作能力和创新设计能力。

课程目标具体、可衡量,便于学生和教师在教学过程中明确预期成果,为后续的教学设计和评估提供依据。

二、教学内容本课程教学内容主要包括以下三个方面:1. EDA技术基本原理:- EDA软件的使用方法与操作流程;- 常用数字电路元件的原理与功能;- 数字电路设计的基本步骤和技巧。

2. 数字时钟工作原理与设计方法:- 数字时钟的基本组成和各部分功能;- 时钟信号的产生、分频和计数原理;- 数字钟电路图设计及仿真分析。

3. 实践操作与调试:- 数字钟电路的搭建与连接;- 调试过程中故障分析与解决;- 数字钟功能测试与性能优化。

教学内容根据课程目标制定,具有科学性和系统性。

教学大纲明确,教学内容安排和进度如下:1. EDA技术基本原理(2课时)2. 数字时钟工作原理与设计方法(3课时)3. 实践操作与调试(4课时)教学内容与教材章节关联紧密,确保学生能够将所学理论知识与实际操作相结合,提高综合运用能力。

三、教学方法针对本课程的教学目标和学生特点,采用以下多样化的教学方法:1. 讲授法:教师通过PPT、板书等形式,系统讲解EDA技术基本原理、数字时钟工作原理与设计方法等理论知识。

数电课设-数字钟

数电课设-数字钟

数字钟一.基本功能1、设计一个数字钟,能够显示当前时间,分别用6个数码管显示小时、分钟、秒钟的时间,秒针的计数频率为1Hz,可由系统脉冲分频得到。

2、在整点进行提示,可通过LED闪烁实现,闪烁频率及花型可自己设计。

3、能够调整小时和分钟的时间,调整的形式为通过按键进行累加。

4、具有闹钟功能,闹钟时间可以任意设定(设定的形式同样为通过按键累加),并且在设定的时间能够进行提示,提示同样可以由LED闪烁实现。

二.扩展功能1、设计模式选择计数器,通过计数器来控制各个功能之间转换。

2、调整当前时间以及闹钟时间,在按键累加的功能不变的基础上,增加一个功能,即当按住累加键超过3秒,时间能够以4Hz的频率累加。

3、用LCD液晶屏来显示当前时间及功能模式。

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity clock isport(clk: in std_logic; --27M晶振key3,key2,key0: in std_logic:='1'; --时、分、模式按钮,下降沿触发ledg: o ut std_logic_vector(2 downto 0):="000"; --整点提示ledr: out std_logic_vector(2 downto 0):="000"; --闹铃hex7,hex6,hex5,hex4,hex3,hex2,hex0,hex1: out std_logic_vector(6 downto 0) --数码管显示);end;architecture a of clock issignal x: integer range 1 to 13500000:=1; --记27M的上升沿个数signal clka: std_logic; --1HZsignal temp1,temp2,temp3,temp4,temp5,temp6: std_logic_vector(3 downto 0):="0000"; --时分秒走时signal xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6:std_logic_vector(3 downto 0):="0000"; --数码管显示signal temp0: std_logic_vector(1 downto 0):="00"; --模式显示signal tfen1,tfen2,tshi1,tshi2,nfen1,nfen2,nshi1,nshi2: std_logic_vector(3 downto 0); --调时和闹铃时的分、时的个位和十位signal naoling1,naoling2,naoling3,naoling4: std_logic_vector(3 downto 0); --闹铃调时时的显示begin--分频,产生1HZ的时钟process(clk)beginif clk'event and clk='1' thenx<=x+1;if x=13500000 thenclka<=not clka; --27M每13500000个上升沿clka取反x<=1;end if;end if;end process;--模式选择器,用按键控制,有0、1、2 三种模式process(key0)beginif key0'event and key0='0' thenif temp0="10" then --模式2时,再按键则进入模式0temp0<="00";elsetemp0<=temp0+1;end if;end if;end process;--模式用数码管显示process(temp0)begincase temp0 iswhen "00" => hex0<="1000000";--显示0when "01" => hex0<="1111001";--显示1when "10" => hex0<="0100100";--显示2when others => hex0<="0000000";--显示全亮end case;end process;--模式1时,调时,调节时钟的分process(key2,temp0)beginif temp0="01" thenif key2'event and key2='0' thenif tfen1="1001" then --个位到9,十位加1if tfen2="0101" then --加到59,则归零tfen1<="0000";tfen2<="0000";elsetfen2<=tfen2+1;tfen1<="0000";end if;elsetfen1<=tfen1+1;end if;end if;end if;end process;--模式1时,调时,调节时钟的时process(key3,temp0)beginif temp0="01" thenif key3'event and key3='0' thenif tshi1="1001" then ----个位到9,十位加1tshi1<="0000";tshi2<=tshi2+1;elsif tshi1="0011" and tshi2="0010" then --到23,则归零tshi1<="0000";tshi2<="0000";elsetshi1<=tshi1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的分process(key2,temp0)beginif temp0="10" thenif key2'event and key2='0' thenif nfen1="1001" then ----个位到9,十位加1if nfen2="0101" then --加到59,则归零nfen1<="0000";nfen2<="0000";elsenfen2<=nfen2+1;nfen1<="0000";end if;elsenfen1<=nfen1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的时process(key3,temp0)beginif temp0="10" thenif key3'event and key3='0' thenif nshi1="1001" then ----个位到9,十位加1nshi1<="0000";nshi2<=nshi2+1;elsif nshi1="0011" and nshi2="0010" then --到23,则归零nshi1<="0000";nshi2<="0000";elsenshi1<=nshi1+1;end if;end if;end if;end process;--三种模式间的显示及传递process(clka,temp0)beginif temp0="01" then --模式1时,传递调时的时,分temp3<=tfen1;temp4<=tfen2;temp5<=tshi1;temp6<=tshi2;xianshi3<=temp3; --模式1时,显示时,分xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;elsif temp0="10" then --模式2时,传递闹铃的时,分naoling1<=nfen1;naoling2<=nfen2;naoling3<=nshi1;naoling4<=nshi2;xianshi3<=naoling1; --模式2时,显示闹铃的时,分xianshi4<=naoling2;xianshi5<=naoling3;xianshi6<=naoling4;elsifclka'event and clka='1' then --正常走时,即temp0=00if temp1="1001" then --秒的个位到9,十位加1if temp2="0101" then --秒到59,则归零,分的个位加1temp1<="0000";temp2<="0000";temp3<=temp3+1;if temp3="1001" then --分的个位到9,十位加1if temp4="0101" then --分到59,则归零,时的个位加1temp3<="0000";temp4<="0000";temp5<=temp5+1;if temp5="1001" then --时的个位到9,十位加1temp5<="0000";temp6<=temp6+1;elsif temp5="0011" and temp6="0010" then --时到23,则归零temp5<="0000";temp6<="0000";end if;elsetemp3<="0000";temp4<=temp4+1;end if;elsetemp3<=temp3+1;end if;elsetemp1<="0000";temp2<=temp2+1;end if;elsetemp1<=temp1+1;end if;----到设置的闹铃时则ledr(0--2)三个灯亮,一分钟后熄灭if temp3=naoling1 and temp4=naoling2 and temp5=naoling3 and temp6=naoling4 thenledr<="111";elseledr<="000";end if;----到整点时时则ledg(0--2)三个灯亮,一分钟后熄灭if temp3="0000" and temp4="0000" thenledg<="111";elseledg<="000";end if;--将走时传递给显示译码xianshi1<=temp1;xianshi2<=temp2;xianshi3<=temp3;xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;end if;end process;----数码管显示译码process(xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6) begincase xianshi1 iswhen "0000" => hex2<="1000000";when "0001" => hex2<="1111001";when "0010" => hex2<="0100100";when "0011" => hex2<="0110000";when "0100" => hex2<="0011001";when "0101" => hex2<="0010010";when "0110" => hex2<="0000010";when "0111" => hex2<="1111000";when "1000" => hex2<="0000000";when "1001" => hex2<="0010000";when others => hex2<="1000000";end case;case xianshi2 iswhen "0000" => hex3<="1000000";when "0001" => hex3<="1111001";when "0010" => hex3<="0100100";when "0011" => hex3<="0110000";when "0100" => hex3<="0011001";when "0101" => hex3<="0010010";when others => hex3<="1000000";end case;case xianshi3 iswhen "0000" => hex4<="1000000";when "0001" => hex4<="1111001";when "0010" => hex4<="0100100";when "0011" => hex4<="0110000";when "0100" => hex4<="0011001";when "0101" => hex4<="0010010";when "0110" => hex4<="0000010";when "0111" => hex4<="1111000";when "1000" => hex4<="0000000";when "1001" => hex4<="0010000";when others => hex4<="1000000";end case;case xianshi4 iswhen "0000" => hex5<="1000000";when "0001" => hex5<="1111001";when "0010" => hex5<="0100100";when "0011" => hex5<="0110000";when "0100" => hex5<="0011001";when "0101" => hex5<="0010010";when others => hex5<="1000000";end case;case xianshi5 iswhen "0000" => hex6<="1000000";when "0001" => hex6<="1111001";when "0010" => hex6<="0100100";when "0011" => hex6<="0110000";when "0100" => hex6<="0011001";when "0101" => hex6<="0010010";when "0110" => hex6<="0000010";when "0111" => hex6<="1111000";when "1000" => hex6<="0000000";when "1001" => hex6<="0010000";when others => hex6<="1000000";end case;case xianshi6 iswhen "0000" => hex7<="1000000";when "0001" => hex7<="1111001";when "0010" => hex7<="0100100";when others => hex7<="1000000";end case;hex1<="1111111"; ---关闭hex1数码管end process;end;。

数字钟课程设计

数字钟课程设计

数字钟课程设计一、引言数字钟是一种用数字形式显示时间的钟表,具有直观、准确和易于阅读的特点。

在现代社会,数字钟已成为人们日常生活中必不可少的工具之一。

为了培养学生的创新思维和实践能力,本课程旨在引导学生设计和制作一个简单的数字钟。

本文档将详细介绍数字钟课程的设计思路、教学目标、教学内容和评估方式。

二、教学目标本课程的教学目标如下:1. 培养学生基本的电子电路设计和焊接技能;2. 培养学生的创新思维和问题解决能力;3. 培养学生的团队协作精神和沟通能力;4. 提高学生对于数码技术的理解和运用能力;5. 培养学生对于时间概念的认识和管理能力。

三、教学内容本课程的教学内容如下:1. 数字钟的原理和构造:介绍数字钟的基本原理和各部分的构造,包括时钟模块、显示模块、控制模块等;2. 电子电路基础知识:讲解电子电路的基本概念和常用元件,如电阻、电容、晶体管等;3. 数字时钟的设计与制作:分为硬件设计和软件设计两部分。

硬件设计主要包括电路连接与焊接,软件设计主要包括显示模块的驱动和时钟模块的控制;4. 数字时钟的调试与测试:学生需要对自己制作的数字时钟进行调试和测试,确保其正常工作和准确显示时间;5. 数字时钟的应用拓展:鼓励学生在数字时钟的基础上进行创新和拓展,增加其他功能,如闹钟、温湿度显示等。

四、课程安排根据本课程的教学内容,将课程安排如下:1. 第一周:介绍数字钟的原理和构造,讲解电子电路的基础知识;2. 第二周:学生分组进行数字时钟的设计与制作,确定硬件和软件设计方案;3. 第三周:学生进行电路连接与焊接,制作数字时钟的硬件部分;4. 第四周:学生进行软件设计和编程,完成数字时钟的控制和显示功能;5. 第五周:学生进行数字时钟的调试和测试,解决可能出现的问题;6. 第六周:学生进行数字时钟的应用拓展,增加其他功能,并进行展示与分享。

五、评估方式为了评估学生的学习效果和课程的教学质量,本课程采用以下评估方式:1. 课堂参与度:根据学生在课堂上的积极参与和提问情况,进行评估;2. 课程作业:学生需要完成数字时钟的设计与制作任务,并提交相应的作业报告;3. 数字时钟的调试与测试:学生需要进行数字时钟的调试和测试,并进行成果展示;4. 分组评价:学生需要对本组成员的工作情况进行评价,评估团队合作能力。

数字时钟的课程设计

数字时钟的课程设计

数字时钟的课程设计一、课程目标知识目标:1. 学生能理解数字时钟的基础知识,掌握时钟的数字显示原理。

2. 学生能运用所学知识,分析并描述数字时钟的显示方式及其变化规律。

3. 学生了解数字时钟在实际生活中的应用,理解时分秒的概念。

技能目标:1. 学生能够通过观察、分析,动手操作,设计简单的数字时钟模型。

2. 学生能够运用所学知识,解决与数字时钟相关的问题,如时间计算、闹钟设定等。

3. 学生能够运用数字时钟知识,进行实际生活中的时间管理。

情感态度价值观目标:1. 学生培养对数字时钟的兴趣,激发学习科学技术的热情。

2. 学生通过数字时钟的学习,认识到时间的重要性,养成珍惜时间的良好习惯。

3. 学生在团队协作中,学会互相尊重、沟通、合作,培养集体荣誉感。

课程性质:本课程以实践性、趣味性为主,注重培养学生的动手能力、观察力和团队协作能力。

学生特点:五年级学生具有一定的逻辑思维能力、动手操作能力和团队协作能力。

教学要求:教师应采用生动有趣的教学方法,引导学生主动参与,关注学生的个体差异,鼓励学生提出问题、解决问题,注重培养学生的实践能力和创新能力。

通过课程目标的实现,使学生能够将所学知识应用于实际生活,提高学生的综合素质。

二、教学内容本课程依据课程目标,结合课本内容,组织以下教学大纲:1. 数字时钟的基础知识:- 时钟的演变与数字时钟的原理- 时分秒的概念及其在数字时钟中的应用2. 数字时钟的组成与显示方式:- 数字时钟的显示屏、电路和程序- 数字时钟的显示方式:LED、LCD等- 数字时钟的显示变化规律3. 数字时钟的应用:- 时间计算与时间管理- 闹钟功能及其设置方法- 数字时钟在生活中的实际应用案例4. 动手实践与团队协作:- 设计简单的数字时钟模型- 操作数字时钟,解决实际问题- 团队合作,交流分享制作经验教学内容安排与进度:1. 基础知识学习(1课时)2. 数字时钟组成与显示方式(1课时)3. 数字时钟应用(1课时)4. 动手实践与团队协作(2课时)教材章节关联:本教学内容与课本第四章“时间及其测量”相关,涉及第四节“电子时钟及其应用”。

数字钟数课程设计

数字钟数课程设计

数字钟数课程设计一、课程目标知识目标:1. 学生能理解数字钟的基本原理,掌握时分秒的概念及其相互关系。

2. 学生能运用所学的数字时钟知识,准确读取和显示时间。

3. 学生了解数字时钟在日常生活和科技领域中的应用。

技能目标:1. 学生能够通过实际操作,设计并搭建一个简单的数字时钟电路。

2. 学生能够运用逻辑思维和问题解决能力,分析并修复数字时钟的常见故障。

3. 学生掌握时间计算和转换的方法,提高数据处理和运算能力。

情感态度价值观目标:1. 学生培养对数字时钟及电子科技的兴趣,激发探索精神和创新意识。

2. 学生在小组合作中学会沟通与协作,培养团队精神和责任感。

3. 学生通过学习数字时钟,认识到时间的重要性,养成珍惜时间和遵守时间的良好习惯。

二、教学内容本节教学内容围绕数字时钟的原理、结构与使用进行组织。

具体包括以下部分:1. 数字时钟原理:讲解数字时钟的基本工作原理,包括时钟芯片、晶振、计数器等组成部分,使学生理解数字时钟的运行机制。

2. 时分秒概念:介绍时分秒的定义及其相互关系,通过实例讲解,使学生掌握时间的基本单位。

3. 数字时钟的读取与显示:讲解数字时钟的显示方法,使学生学会如何快速准确地读取和显示时间。

4. 数字时钟电路设计与搭建:指导学生运用所学知识,设计并搭建一个简单的数字时钟电路,培养学生的动手操作能力和创新意识。

5. 数字时钟故障分析与修复:介绍常见的数字时钟故障现象,指导学生运用逻辑思维和问题解决能力,分析并修复故障。

6. 时间计算与转换:讲解时间计算和转换的方法,提高学生数据处理和运算能力。

7. 数字时钟的应用:介绍数字时钟在日常生活和科技领域中的应用,拓宽学生视野。

教学内容按照以下进度安排:1. 第1课时:数字时钟原理及时分秒概念。

2. 第2课时:数字时钟的读取与显示。

3. 第3课时:数字时钟电路设计与搭建。

4. 第4课时:数字时钟故障分析与修复。

5. 第5课时:时间计算与转换。

数字钟的设计课程设计VHDL

数字钟的设计课程设计VHDL

数字钟的设计 课程设计 VHDL一、课程目标知识目标:1. 理解数字时钟的基本原理和VHDL语言编程基础;2. 学会使用VHDL语言设计简单的数字时钟电路;3. 掌握数字时钟各模块的功能及其相互关系;4. 了解数字时钟在实际应用中的重要性。

技能目标:1. 能够运用VHDL语言编写简单的数字时钟程序;2. 能够对设计的数字时钟进行功能仿真和时序分析;3. 能够根据实际需求调整和优化数字时钟设计;4. 培养学生的团队协作能力和问题解决能力。

情感态度价值观目标:1. 培养学生对数字电路设计和VHDL编程的兴趣和热情;2. 增强学生对我国集成电路产业的认识,提高国家自豪感;3. 培养学生严谨、认真、负责的学习态度,为未来从事相关工作奠定基础。

课程性质:本课程为电子信息类专业的实践课程,旨在帮助学生掌握数字时钟设计的基本方法和技能。

学生特点:学生已具备一定的数字电路基础和VHDL编程知识,具有一定的自学能力和动手能力。

教学要求:结合学生特点,注重理论与实践相结合,强化实践操作,鼓励学生创新和团队协作。

通过本课程的学习,使学生能够独立完成数字时钟的设计与实现,达到学以致用的目的。

二、教学内容1. 数字时钟原理概述:数字时钟的组成、工作原理和性能指标;2. VHDL语言基础:VHDL程序结构、数据类型、运算符、顺序语句和并行语句;3. 数字时钟设计方法:分频器、计数器、秒表、时钟显示等模块的设计原理和实现方法;4. 数字时钟电路仿真:功能仿真和时序分析,优化设计;5. 数字时钟综合设计:根据实际需求,完成数字时钟的各个模块设计和整体集成;6. 数字时钟实践操作:动手实践,调试和优化数字时钟设计。

教学大纲安排:第一周:数字时钟原理概述,VHDL语言基础;第二周:数字时钟各个模块的设计方法;第三周:数字时钟电路仿真,功能验证;第四周:数字时钟综合设计,实践操作。

教学内容关联教材章节:1. 数字时钟原理概述:第三章 数字电路基础;2. VHDL语言基础:第四章 VHDL硬件描述语言;3. 数字时钟设计方法:第五章 数字系统设计;4. 数字时钟电路仿真:第六章 数字电路仿真;5. 数字时钟综合设计:第五章 数字系统设计;6. 数字时钟实践操作:实验指导书相关内容。

课程设计数字时钟

课程设计数字时钟

课程设计数字时钟一、教学目标本课程旨在让学生掌握数字时钟的基本概念、设计和制作方法。

通过本课程的学习,学生将能够:1.理解数字时钟的工作原理和组成部分;2.学习数字时钟的设计方法和步骤;3.掌握基本的编程技能,用于实现数字时钟的功能;4.培养学生的动手能力、团队协作能力和创新思维。

二、教学内容本课程的教学内容主要包括以下几个部分:1.数字时钟的基本概念:介绍数字时钟的定义、特点和应用领域;2.数字时钟的组成部分:讲解数字时钟的硬件结构和软件系统;3.数字时钟的设计方法:介绍数字时钟的设计思路、步骤和注意事项;4.编程实现数字时钟功能:学习编程语言,编写程序实现数字时钟的显示、定时等功能;5.动手制作数字时钟:学生分组进行实践,制作属于自己的数字时钟。

三、教学方法为了提高教学效果,本课程将采用以下几种教学方法:1.讲授法:教师讲解数字时钟的基本概念、设计方法和编程技巧;2.讨论法:学生分组讨论,分享设计思路和制作经验;3.案例分析法:分析典型案例,让学生了解数字时钟在实际应用中的原理和优势;4.实验法:学生动手实践,制作数字时钟,培养实际操作能力。

四、教学资源为了支持教学内容和教学方法的实施,我们将准备以下教学资源:1.教材:提供一本关于数字时钟设计和制作的教材,为学生提供理论知识的学习;2.参考书:推荐一些与数字时钟相关的参考书籍,丰富学生的知识体系;3.多媒体资料:制作PPT、视频等多媒体资料,直观展示数字时钟的设计过程和制作方法;4.实验设备:准备数字时钟制作所需的硬件设备,如开发板、传感器等,为学生提供实践操作的机会。

五、教学评估本课程的评估方式包括以下几个方面:1.平时表现:评估学生的课堂参与度、提问回答、小组讨论等,占总评的30%;2.作业:评估学生完成的作业质量,包括编程练习、设计报告等,占总评的30%;3.考试:进行一次期末考试,测试学生对数字时钟设计和制作的掌握程度,占总评的40%。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数字时钟课程设计--数字钟的设计
信息科学与工程学院
课程设计任务书题目:数字钟的设计
学号:
姓名:
专业:
课程:计算机电路基础
指导教师:职称:
完成时间:2013年5月----2013年6月
信息科学与工程学院制
2013年6 月8 日
数字时钟的设计
1设计内容及方案
1.1设计目的
1、熟悉集成电路的引脚安排;
2、掌握个芯片的逻辑功能及使用方法;
3、了解各个芯片的组成及工作原理;
4、设计并制作一个数字钟;
5、巩固所学知识,增强自身动手及应用能力。

1.2设计要求
用集成计数器74LS160或74LS163及适当的逻辑器件设计电子时钟,设计基本要求:
1、准确计时,用数码管显示时、分和秒;
2、小时以24小时计时。

设计扩充要求:设计时间校正功能
1、能够进行时间校准,可以对时,分单独校时;
2、设计“闹”钟功能。

2实验原理及所用元件
数字时钟是由秒、分和时的个、十位构成,其中个位是十进制、十位是六进制(小时的十位是二进制)。

用一个时钟控制多个计数器来计时。

用到TTL型MSI同步计数器中的74160型(十进制异步清零)和74163型(十六进制同步清零)计数器。

还有时钟、显示屏、逻辑门、开关、高低电平等。

计数器介绍
3设计思路及电路
3.1 设计思路
设计这个数字钟电路的各个单元电路:时、分、秒计数电路及控制校准部分。

秒是六十进制,所以它们的个位用十进制的计数器,十位用十六进制的计数器,然后再用逻辑门电路调整。

分钟也是六十进制,都用十六进制的计数器,然后调整。

小时用两个十六进制的计数器,然后调整。

用一个时钟控制电路,并通过逻辑门电路实现进位。

电路图绘制注意事项:
(1)元器件布局合理、排列均匀、图面清晰、便于阅读。

(2)先依次画出各单元电路,分别进行调试。

(3)图形符号标准,适当标注。

(4)连线应为直线,尽量少交叉和折弯。

3.2 秒电路设计
个位是十进制,所以用十进制的74160型计数器,十位为六进制,用十六进制的74163型计数器,并改成六进制计数器。

电路设计如下:
此处
个位计数器的清零端、计数控制端、置入端接高电平。

时钟输入端接时钟,并且个位、十位接同一个时钟。

个位的进位端接到十位的计数控制端。

十位计数器的输出B、C相与非,因为当计数器计到6时,D、C、B、A分别为0110。

相与非后为0,接到它的。

清零端,可以实现六进制。

在相与端接一个非门,因为清零端是低电平有效,所以加上非门后变成高电平,以便于给分钟个位的计数器一个计数信号,实现由秒到分钟的进位。

3.3 分钟电路设计
个位和十位都用十进制的74163型计数器,并改成六十进制计数器。

电路设计如下:
个位计数器右端M处是秒的十位计数器来的进位,接到计数控制端,使分钟计数器开始工作。

分钟的个位计数器的B、D相与非,因为当计数器计到10时D、C、B、A 分别是1010,与非后是0。

接到清零端后,实现十进制。

并且再在与非项端接上一个非门,使之变成高电平,用来给小时的个位计数器一个计数信号,使其工作。

因为十六进制的74163型计数器进位端RCO端满足A、B、C、D都为1时才进位,很明显计数器只能寄到十或六,所以可以用它来实现进位。

其它的与秒电路相同。

3.4 小时电路
个位、十位都用十六进制的74163型计数器。

电路设计如下:
3.5 校准设计
校时电路是计时器中不可少的一部分因为当即时间与计时器时间不一致时,就需要校时电路予以校正。

1. 先设计一个总开关。

在时钟总输入端加上一个开关1,就可以控制整
个电路。

2. 在秒的个位计数器的X处加上一个开关电路○1校准秒。

开关2是暂
停开关,开关3是校准秒的。

如图所示:
这个校准电路可以实现在调秒时,分钟和小时不变。

3. 校准分钟设计:
在给分钟进位的M处,加上一个开关4,开关另一端接高电平。

当要调分钟时打开暂停开关2,然后闭合开关4。

就可以给计数控制端一个持续的技术信号,使其工作频率与秒相同,。

当调到正确时间时打开开关4即可。

4. 校准小时设计:
在给小时进位的N处,加上一个开关5,开关另一端接到高电平上。

其原与开关4相同
这样用这五个开关就实现了时、分、秒的校准。

最后,将各个电路前后连接起来,进行调整,使电路图清晰、明了。

3.6 闹钟设计
闹钟的实现需要7486型比较器,每个7486配四个开关用以输入想要定制的十六进制字符。

将输入端A连在高电位上,将输入端B连在显示器上,将输出端Y连接到异或门上,将异或门连接到铃上。

该处没有铃,用灯代替,灯亮铃响。

3.7电路总图如下。

相关文档
最新文档