哈工大单片机原理与应用实验报告

哈工大单片机原理与应用实验报告
哈工大单片机原理与应用实验报告

H a r b i n I n s t i t u t e o f T e c h n o l o g y

单片机原理与应用

实验报告

学生姓名:

学号:

班级:

专业:

任课教师:

所在单位:

2013年5月

软件实验

在软件实验部分,通过实验程序的调试,使学生熟悉MCS-51的指令系统,了解程序设计过程,掌握汇编语言设计方法以及如何使用实验系统提供的调试手段来排除程序错误。

实验一清零程序

一、实验目的

掌握汇编语言设计和调试方法,熟悉键盘操作。

二、实验内容

把2000~20FFh的内容清零。

三、程序框图

四、实验过程

根据程序框图编写程序,调试无误后装载,运行后观察记录实验现象,并截图。

五、实验结果及分析

1、2000H~20FFh中的内容是什么?

答:程序对2000H~20FFH进行了清零操作,2000H~20FFH中的内容全为00H。

六、实验源程序

;清零程序

ORG 0640H

SE01: MOV R0,#00H

MOV DPTR,#2000H ;(2000H)送DPTR

LOO1: MOVX @DPTR,A ;0送(DPTR)

INC DPTR ;DPTR+1

INC R0 ;字节数加1

CJNE R0,#00H,LOO1 ;不到FF个字节再清

SJMP $

END

实验二拆字程序

一、实验目的

掌握汇编语言设计和调试方法。

二、实验内容

把2000h的内容拆开,高位送2001h低位,低位送2002h低位,2001h、2002h高位清零,一般本程序用于把数据送显示缓冲区时用。

三、程序流程

四、实验过程

根据程序框图编写程序,调试无误后装载,运行后观察记录实验现象,并截图。

五、实验结果及分析

1、如果将2001h、2002h高位置1,程序该如何修改?

答:修改程序如下:

ORG 0640H

MOV DPTR,#2000H ;(2000H)送DPTR

MOVX A,@DPTR

SWAP A

ANL A,#FFH ;修改之处

INC DPTR

MOVX @DPTR,A

MOV DPTR,#2000H ;(2000H)送DPTR

MOVX A,@DPTR

ANL A,#FFH ;修改之处

INC DPTR

INC DPTR

MOVX @DPTR,A

SJMP $

END

六、实验源程序

;拆字程序

ORG 0640H

MOV DPTR,#2000H ;(2000H)送DPTR

MOVX A,@DPTR

SWAP A

ANL A,#0FH

INC DPTR

MOVX @DPTR,A

MOV DPTR,#2000H ;(2000H)送DPTR

MOVX A,@DPTR

ANL A,#0FH

INC DPTR

INC DPTR

MOVX @DPTR,A

SJMP $

END

实验三拼字程序

一、实验目的

进一步掌握汇编语言设计和调试方法。

二、实验内容

把2000h、2001h的低位分别送入2002h高低位,一般本程序用于把显示缓冲区的数据取出拼装成一个字节。

三、程序流程

四、实验过程

根据程序框图编写程序,调试无误后装载,运行后观察记录实验现象,并截图。

五、实验结果及分析

1、运行程序后2002h的最终内容是?

答:2000H为13H,2001H为44H,运行后2002H为34H。

六、实验源程序

;拼字程序

ORG 0640H

MOV DPTR,#2000H ;(2000H)送DPTR

MOVX A,@DPTR

ANL A,#0FH

SWAP A

MOV B,A

MOV DPTR,#2001H ;(2001H)送DPTR

MOVX A,@DPTR

ANL A,#0FH

ORL A,B

INC DPTR

MOVX @DPTR,A

SJMP $

END

实验四数据区传送子程序

一、实验目的

掌握RAM中的数据操作。

二、实验内容

把R2、R3源RAM区首址内的R6、R7字节数据传送到R4、R5目的RAM区。

三、程序流程

四、实验过程

根据程序框图编写程序,调试无误后装载,运行后观察记录实验现象,并截图。

五、实验结果及分析

1、R4、R5所定义的目的地址单元内容是什么?

答:R4、R5所定义的目的地址单元内容是30 00 32 00 34 00 00 00 38 01 00 00 00 00 00 00。

六、实验源程序

MAIN:MOV R2,#20H

MOV R3,#00H

MOV R4,#20H

MOV R5,#10H

MOV R6,#20H

MOV R7,#20H

LOOP1: MOV DPH,R2

MOV DPL,R3

MOVX A,@DPTR

INC R3

MOV DPH,R4

MOV DPL,R5

MOVX @DPTR,A

INC R5

MOV DPH,R6

MOV DPL,R7

MOVX A,@DPTR

MOV R1,A

DJNZ R1,LOOP1

END

实验六查找相同数个数一、实验目的

熟悉汇编语言编程。

二、实验内容

在2000~200Fh中查出有几个字节是零,把个数放在2100h中。

三、程序框图

四、实验过程

根据程序框图编写程序,调试无误后装载,运行后观察记录实验现象,并截图。

五、实验结果及分析

1、如需查找0FF,需修改程序哪个语句,如何修改?

答:MOV DPTR,#2000H ;(2000H)送DPTR

MOV R0,#0FH

MOV R1,#00H

LOOP: MOVX A,@DPTR

INC DPTR

DJNZ R0,LOOP

CJNE A,#0FFH,LOOP1 ;修改之处

LJMP LOOP2

LOOP1: INC R1

LJMP LOOP

LOOP2: MOV DPTR,#2100H

MOV A,R1

MOVX @DPTR,A

SJMP $

END

六、实验源程序

;相同数

MOV DPTR,#2000H ;(2000H)送DPTR

MOV R0,#0FH

MOV R1,#00H

LOOP: MOVX A,@DPTR

INC DPTR

DJNZ R0,LOOP

JZ LOOP1

LJMP LOOP2

LOOP1: INC R1

LJMP LOOP

LOOP2: MOV DPTR,#2100H

MOV A,R1

MOVX @DPTR,A

SJMP $

END

实验七无符号双字节快速乘法子程序

一、实验目的

掌握MCS-51汇编语言程序设计方法。

二、预备知识

本程序是利用单字节的乘法指令,根据下面的公式进行乘法运算的:

(R2 R3)×(R6 R7)

= ((R2)×28+(R3))×((R6)×28+(R7))

= (R2)×(R6)×216+(R2)×(R7)+(R3)×(R6)×28+(R3)*(R7)

三、实验内容

将(R2 R3)和(R6 R7)中双字节无符号整数相乘,积送R4 R5 R6 R7中。

四、程序框图

五、实验过程

根据程序框图编写程序,调试无误后装载,运行后观察记录实验现象,并截图。

六、实验结果及分析

1、寄存器R

2、R

3、R6、R7分别输入01、10、11、10,运行程序,R

4、R6中的内容是什么?

答:R4、R6中的内容分别是01和15。

七、实验源程序;乘法

MOV A,R3

MOV B,R7

MUL AB

XCH A,R7

MOV R5,B

MOV B,R2

MUL AB

ADD A,R5

MOV R4,A

CLR A

ADDC A,B

MOV R5,A

MOV A,R6

MOV B,R3

MUL AB

ADD A,R4

XCH A,R6

XCH A,B

ADDC A,R5

MOV R5,A

MOV F0,C

MOV A,R2

MUL AB

ADD A,R5

MOV R5,A

CLR A

MOV ACC.0,C

MOV C,F0

ADD A,B

MOV R4,A

RET

SJMP $

END

实验八多分支程序

一、实验目的

掌握汇编语言的编程。

二、实验内容

编写程序,根据送入的数据转移运行。

三、程序框图

四、实验过程

根据程序框图编写程序,调试无误后装载,运行后观察记录实验现象,并截图。

五、实验结果及分析

1、如何修改程序使数据循环变慢,同时将0字循环改为4字循环?

答:修改延时程序DLY,增长延时时间

原延时程序:

DLY:

MOV R3,#0A0H

DL1:

MOV R4,#7DH

DL2:

NOP

NOP

DJNZ R4,DL2

DJNZ R3,DL1

RET

END

修改后的延时程序:

DLY:

MOV R3,#0FH

DL1:

MOV R4,#7DH

DL2:

NOP

NOP

DJNZ R4,DL2

DJNZ R3,DL1

RET

END

将0字循环改为4字循环:

修改后的子程序

ROUT0:

MOV 20H,#99H

MOV A,20H

MOV R0,#0DCH

MOV R1,#0DDH

MOVX @R0,A

MOV A,#01H

LP0: MOVX @R1,A

ACALL DLY

RL A

SJMP LP0

六、实验源程序

ORG 0

MOV 20H,#04H

MOV R0,#20H

MOV A,@R0

MOV DPTR,#TAB LOOP:JMP @A+DPTR TAB: AJMP ROUT0 AJMP ROUT1

AJMP ROUT2

AJMP ROUT3

ROUT0:

MOV 20H,#0C0H MOV A,20H

MOV R0,#0DCH MOV R1,#0DDH MOVX @R0,A

MOV A,#01H

LP0: MOVX @R1,A ACALL DLY

RL A

SJMP LP0

ROUT1:

MOV 20H,#0F9H MOV A,20H

MOV R0,#0DCH MOV R1,#0DDH MOVX @R0,A

MOV A,#01H

LP1:

MOVX @R1,A ACALL DLY

RL A

SJMP LP1

ROUT2:

MOV 20H,#0A4H MOV A,20H

MOV R0,#0DCH MOV R1,#0DDH MOVX @R0,A

MOV A,#01H

LP2:

MOVX @R1,A ACALL DLY

RL A

SJMP LP2

ROUT3:

MOV 20H,#0B0H

MOV A,20H

MOV R0,#0DCH

MOV R1,#0DDH

MOVX @R0,A

MOV A,#01H

LP3:

MOVX @R1,A

ACALL DLY

RL A

SJMP LP3

DLY:

MOV R3,#0A0H

DL1:

MOV R4,#7DH

DL2:

NOP

NOP

DJNZ R4,DL2

DJNZ R3,DL1

RET

END

实验十电脑时钟(定时器、中断器综合实验)

一、实验目的

熟悉MCS-51定时器,串行口和中断初始化编程方法,了解定时器的应用实时程序的设计与调试技巧。

二、实验内容

编写程序,从本实验系统键盘上输入时间初值,用定时器产生0.1S定时中断,对时钟计数器计数,并将数值实时地送数码管显示。

三、程序框图

四、实验过程

根据程序框图编写程序,调试无误后装载,运行后观察记录实验现象。

五、实验结果及分析

1、如何修改程序使定时器工作方式改变(实现12小时制)?

答:将原程序“CJNE A,#24,DONE ”改为“CJNE A,#12,DONE ”,从而实现12小时制。

六、实验源程序

脉冲计数(定时/计数器实验)

ORG 06E0H

SE15: MOV SP,#53H

MOV TMOD,#05H

MOV TH0,#00H

MOV TL0,#00H

SETB TR0

LO29: MOV R2,TH0

MOV R3,TL0

LCALL ZOY0

MOV R0,#79H

MOV A,R6

LCALL PTDS

MOV A,R5

LCALL PTDS

MOV A,R4

LCALL PTDS

LCALL SSEE

SJMP LO29

ZOY0: CLR A

MOV R4,A

MOV R5,A

MOV R6,A

MOV R7,#10H

LO30: CLR C

MOV A,R3

RLC A

MOV R3,A

MOV A,R2

RLC A

MOV R2,A

MOV A,R6

ADDC A,R6

DA A

MOV R6,A

MOV A,R5

ADDC A,R5

DA A

MOV R5,A

MOV A,R4

ADDC A,R4

DA A

MOV R4,A

DJNZ R7,LO30

RET

PTDS: MOV R1,A

ACALL PTDS1

MOV A,R1

SWAP A

PTDS1: ANL A,#0FH

MOV @R0,A

INC R0

RET

SSEE: SETB RS1

MOV R5,#05H

SSE2: MOV 30H,#20H

MOV 31H,#7EH

MOV R7,#06H

SSE1: MOV R1,#0DDH

MOV A,30H

MOVX @R1,A

MOV R0,31H

MOV A,@R0

MOV DPTR,#DDFF

MOVC A,@A+DPTR

MOV R1,#0DCH

MOVX @R1,A

MOV A,30H

MOV 30H,A

DEC 31H

MOV A,#0FFH

MOVX @R1,A

DJNZ R7,SSE1

DJNZ R5,SSE2

CLR RS1

RET

DDFF: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H DB 88H,83H,0C6H,0A1H,86H,8EH,0FFH,0CH,89H,0DEH

END

电脑时钟(定时器、中断综合实验)

ORG 0000H

SJMP CHK00

ORG 000BH

LJMP CLOCK;INT_T0

ORG 0030H

CHK00: MOV SP,#60H

LCALL LEDP

CHK0: LCALL LCK0

LCALL PTDS0

MOV TMOD,#01H

ORL IE,#10000010b

MOV TL0,#0

MOV TH0,#4ch

MOV 23H,#00H

SETB TR0

LOO5: LCALL DIS

LCALL PTDS0

SJMP LOO5

PTDS0: MOV R0,#79H

MOV A,22H

ACALL PTDS

MOV A,21H

ACALL PTDS

MOV A,20H

ACALL PTDS

RET

PTDS: MOV R1,A

ACALL PTDS1

MOV A,R1

SWAP A

PTDS1: ANL A,#0FH

MOV @R0,A

RET

CLOCK: MOV TL0,#0B7H

MOV TH0,#3CH

PUSH PSW

PUSH ACC

SETB 0D3H

INC 23H

MOV A,23H

CJNE A,#20,DONE

MOV 23H,#00H

MOV A,22H

INC A

DA A

MOV 22H,A

CJNE A,#60H,DONE

MOV 22H,#00H

MOV A,21H

INC A

DA A

MOV 21H,A

CJNE A,#60H,DONE

MOV 21H,#00H

MOV A,20H

INC A

DA A

MOV 20H,A

CJNE A,#24H,DONE

MOV 20H,#00H DONE: POP ACC

POP PSW

RETI

SSEE: SETB RS1

MOV R5,#05H

SSE2: MOV 30H,#20H

MOV 31H,#7EH

MOV R7,#06H

SSE1: MOV R1,#0DDH

MOV A,30H

MOVX @R1,A

MOV R0,31H

MOV A,@R0

MOV DPTR,#DDFF

MOVC A,@A+DPTR

MOV R1,#0DCH

MOVX @R1,A

单片机实验报告

PIC单片机原理与应用实验报告 学校: 学院: 班级: 姓名: 学号: 指导教师:

实验一I/O端口实验 一、实验目的 (1)掌握MPLAP IDE集成开发环境的基本操作。 (2)掌握单片机的I/O端口的设计方法。 (3)掌握在线调试器的使用方法。 (4)学会查阅相关数据手册。 二、实验仪器设备 (1)PC机一台; (2)MPLAP IDE开发软件一套; (3)PICkit3在线调试器一套; (4)APP009实验板一块; 三、实验要求 (1)设计发光LED灯闪烁程序,下载调试,验证功能。 (2)设计流水灯程序,或其他花样彩灯程序,下载调试,验证功能。 (3)设计按按键加1计数程序,下载调试,验证功能。 四、实验步骤 (1)连接在线调试器PICkit3、APP009实验板和计算机; (2)打开MPLAP IDE集成开发环境软件,点击Debugger>Select Tools>PICkit 3 选择调试工具; (3)点击Debugger>Settings,在Settings窗口中点击Power栏,选择由PICkit3向实验板供电; (4)完成实现发光LED灯闪烁实验; 程序代码: #include void delay(void); int main() { while(1) { TRISEbits.TRISE0 = 0; //RE0设置为输出(1输入,0输出); https://www.360docs.net/doc/7c9378395.html,TE0 =1; //RE0=1输出高电平+5V,亮灯 delay(); //延时 https://www.360docs.net/doc/7c9378395.html,TE0 =0; //RE0=0输出低电平0V,灭灯 delay(); //延时 } } void delay(void) { long int i; for (i=0;i<65000;i++); } 实验现象:将程序下载到实验板上,运行程序,LED闪烁,通过改变延时函数改变延时时间,进而可以改变LED闪烁的频率。

哈工大单片机实验报告(上传)

H a r b i n I n s t i t u t e o f T e c h n o l o g y 单片机原理与应用 实验报告 学生姓名: 学号: 班级: 专业: 任课教师: 所在单位: 2013年5月

软件实验 在软件实验部分,通过实验程序的调试,使学生熟悉MCS-51的指令系统,了解程序设计过程,掌握汇编语言设计方法以及如何使用实验系统提供的调试手段来排除程序错误。 实验一清零程序 一、实验目的 掌握汇编语言设计和调试方法,熟悉键盘操作。 二、实验内容 把2000~20FFh的内容清零。 三、程序框图 四、实验过程 实验中利用MOVX语句,将外部存储器指定内容清零。利用数据指针DPTR完成数据传送工作。程序采用用循环结构完成,R0移动单元的个数,可用CJNE比较语句判断循环是否结束。 五、实验结果及分析 清零前清零后

【问题回答】清零前2000H~20FFH中为内存里的随机数,清零后全变为0。 六、实验源程序 AJMP MAIN ORG 0640H MAIN: MOV R0, #00H MOV DPL, #00H MOV DPH, #20H LOOP: MOV A, #00H MOVX @DPTR, A INC DPTR INC R0 CJNE R0, #0FFH, LOOP MOVX @DPTR, A END 实验二拆字程序 一、实验目的 掌握汇编语言设计和调试方法。 二、实验内容 把2000h的内容拆开,高位送2001h低位,低位送2002h低位,2001h、2002h高位清零,一般本程序用于把数据送显示缓冲区时用。 三、程序框图 四、实验过程 将寄存器中内容送入2000H,分别将高低四位移到低位,将高四位置零然后移入2001H 和2002H中。利用MOVX语句、DPTR指针可实现数据的传送,利用高低四位交换语句SWAP和与语句ANL可进行对高低位的清零。

哈工大电子技术实验四人无弃权表决电路(高分版)

姓名XXX 班级1108301 学号xx 实验日期节次 9-11 教师签字成绩 四人无弃权表决电路 1.实验目的 1)掌握74LS20的逻辑功能和使用方法; 2)通过实验,进一步熟悉组合逻辑电路的分析与设计方法。 2.总体设计方案或技术路线 设计一个四人无弃权表决电路(多数赞成则提议通过,即三人以上包括三人),用74LS20来实现。 1)根据任务的要求,设计电路; 2)用代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件(与、或、非)构成电路; 4)最后,用实验来验证设计的正确性。 3.实验电路图 1)ABCD输入端,接数据开关;Z输出端接电平指示器; 2)改变ABCD的组态,记录Z的变化,验证逻辑函数的功能及设计的正确性。 4. 仪器设备名称、型号

1)实验箱 1台2)双踪示波器 1台3)双路直流稳压电源 1台4)数字万用表 1只5)74LS20 3片5.理论分析或仿真分析结果 74LS20管脚图: 逻辑关系式: C AB D Z=ABC+BCD+ACD+ABD=AB BCDACD 逻辑图:

6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)真值表: A B C D F 00000 00010 00100 00110

7.实验结论 由真值表可知,四人无弃权表决电路设计成功,实现了预期功能。

8.实验中出现的问题及解决对策 实验过程中由于有五个与门,而每个74LS20可实现两个与门,故线路连起来相当复杂,容易混淆,故在连接电路时安排好位置,标记好引脚和接头。 9.本次实验的收获和体会、对电路实验室的意见或建议 此次设计是对经典四人表决电路的一次创新,利用书本上的知识和以前类似实验的设计思路进行了此次实验,锻炼了实践能力,熟悉了组合逻辑电路的设计方法。 这次的实验绝对原创的,是对以前做过的实验的一次创新,复杂了不少,锻炼了能力。 10.参考文献 [1]电工学实验教程/王宇红主编.——北京:机械工业出版社,(重印)

哈工大单片机实验报告

软件实验 在软件实验部分,通过实验程序的调试,使学生熟悉MCS-51的指令系统,了解程序设计过程,掌握汇编语言设计方法以及如何使用实验系统提供的调试手段来排除程序错误。 实验一清零程序 一、实验目的 掌握汇编语言设计和调试方法,熟悉键盘操作。 二、实验内容 把2000~20FFh的内容清零。 三、程序框图 四、实验过程 (1)实验中定义R0为循环次数,利用定义了初值的数据指针DPTR不断加1指向需要被清零的外部数据存储器单元。 (2)再利用MOVX语句,将外部存储器指定内容清零。 (3)用CJNE比较语句判断循环是否结束。 五、实验结果及分析

问题回答:清零前2000H~20FFH中为内存里的随机数,清零后全变为0。 六、实验源程序 ;清零程序 ORG 0000H MOV DPTR,#2000H MOV R0,#0FFH ORG 0660H MAIN: MOV A,#00H MOVX @DPTR,A INC DPTR DJNZ R0,MAIN END 实验二拆字程序 一、实验目的 掌握汇编语言设计和调试方法。 二、实验内容 把2000h的内容拆开,高位送2001h低位,低位送2002h低位,2001h、2002h高位清零,一般本程序用于把数据送显示缓冲区时用。 三、程序框图 四、实验过程 (1)定义数据指针DPTR为2000H,将其中内容送入累加器A中,利用高低四位交换语句SWAP可将高四位移至低四位,再用语句ANL与0FH进行与操作取出高四位送入2001H低位 (2)再次让数据指针DPTR为2000H,将其中内容送入累加器A中,直接与0FH相与取出低四位送入2002H低位。 五、实验结果及分析

哈工大_控制系统实践_磁悬浮实验报告

研究生自动控制专业实验 地点:A区主楼518房间 姓名:实验日期:年月日斑号:学号:机组编号: 同组人:成绩:教师签字:磁悬浮小球系统 实验报告 主编:钱玉恒,杨亚非 哈工大航天学院控制科学实验室

磁悬浮小球控制系统实验报告 一、实验内容 1、熟悉磁悬浮球控制系统的结构和原理; 2、了解磁悬浮物理模型建模与控制器设计; 3、掌握根轨迹控制实验设计与仿真; 4、掌握频率响应控制实验与仿真; 5、掌握PID控制器设计实验与仿真; 6、实验PID控制器的实物系统调试; 二、实验设备 1、磁悬浮球控制系统一套 磁悬浮球控制系统包括磁悬浮小球控制器、磁悬浮小球实验装置等组成。在控制器的前部设有操作面板,操作面板上有起动/停止开关,控制器的后部有电源开关。 磁悬浮球控制系统计算机部分 磁悬浮球控制系统计算机部分主要有计算机、1711控制卡等; 三、实验步骤 1、系统实验的线路连接 磁悬浮小球控制器与计算机、磁悬浮小球实验装置全部采用标准线连接,电源部分有标准电源线,考虑实验设备的使用便利,在试验前,实验装置的线路已经连接完毕。 2、启动实验装置 通电之前,请详细检察电源等连线是否正确,确认无误后,可接通控制器电源,随后起动计算机和控制器,在编程和仿真情况下,不要启动控制器。 系统实验的参数调试

根据仿真的数据及控制规则进行参数调试(根轨迹、频率、PID 等),直到获得较理想参数为止。 四、实验要求 1、学生上机前要求 学生在实际上机调试之前,必须用自己的计算机,对系统的仿真全部做完,并且经过老师的检查许可后,才能申请上机调试。 学生必须交实验报告后才能上机调试。 2、学生上机要求 上机的同学要按照要求进行实验,不得有违反操作规程的现象,严格遵守实验室的有关规定。 五、系统建模思考题 1、系统模型线性化处理是否合理,写出推理过程? 合理,推理过程: 由级数理论,将非线性函数展开为泰勒级数。由此证明,在平衡点)x ,(i 00对 系统进行线性化处理是可行的。 对式2x i K x i F )(),(=作泰勒级数展开,省略高阶项可得: )x -)(x x ,(i F )i -)(i x ,(i F )x ,F(i x)F(i,000x 000i 00++= )x -(x K )i -(i K )x ,F(i x)F(i,0x 0i 00++= 平衡点小球电磁力和重力平衡,有 (,)+=F i x mg 0 |,δδ===00 i 00 i i x x F(i,x) F(i ,x )i ;|,δδ===00x 00i i x x F(i,x)F (i ,x )x 对2 i F(i,x )K()x =求偏导数得:

哈工大电路自主设计实验二端口网络参数的测定

二端口网络参数的测定 一、实验目的 1.加深理解双口网络的基本理论。 2.学习双口网络Y 参数、Z 参数及传输参数的测试方法。 3.验证二端口网络级联后的传输参数与原二端口网络传输参数的关系。 二、原理说明 1.如图2-12-1所示的无源线性双口网络,其两端口的电压、电流四个变量之间关系,可用多种形式的参数方程来描述。 图2-12-1 (1)若用Y 参数方程来描述,则为 ()()()(),即输入端口短路时令,即输入端口短路时令,即输出端口短路时令,即输出端口短路时令其中0I 0I 0I 0I 12 2 2212 1 1221 2 2121 1 1122212122121111== ======+=+=U U Y U U Y U U Y U U Y U Y U Y I U Y U Y I 由上可知,只要在双口网络的输入端口加上电压,令输出端口短路,根据上面的前两个公式即可求得输入端口处的输入导纳Y 11和输出端口与输入端口之间的转移导纳Y 21。 同理,只要在双口网络的输出端口加上电压,令输入端口短路,根据上面的后两个公式即可求得输出端口处的输入导纳Y 22和输入端口与输出端口之间的转移导纳Y 12。 (2)若用Z 参数方程来描述,则为

()()()(),即输入端口开路时令,即输入端口开路时令,即输出端口开路时令,即输出端口开路时 令其中 0U Z 0U Z 0U Z 0U 12 2 2212 1 1221 2 212111122212122121111== ======+=+=I I I I I I I I Z I Z I Z U I Z I Z U 由上可知,只要在双口网络的输入端口加上电流源,令输出端口开路,根据上面的前两个公式即可求得输出端口开路时输入端口处的输入阻抗Z 11和输出端口与输入端口之间的开路转移阻抗Z 21。 同理,只要在双口网络的输出端口加上电流源,令输入端口开路,根据上面的后两个公式即可求得输入端口开路时输出端口处的输入阻抗Z 22和输入端口与输出端口之间的开路转移阻抗Z 12。 (3)若用传输参数(A 、T )方程来描述,则为 ()()()(),即输出端口短路时令,即输出端口开路时令,即输出端口短路时令,即输出端口开路时令其中0I D 0I C 0U B 0U A 221s 220 10 221s 220 10 221221=-= ===-===-=-=U I I U U I I U DI CU I BI AU U s s 由上可知,只要在双口网络的输入端口加上电压,令输出端口开路或短路,在两个端口同时测量电压和电流,即可求出传输参数A 、B 、C 、D ,这种方法称为同时测量法。 2.测量一条远距离传输线构成的双口网络,采用同时测量法就很不方便,这时可采用分别测量法,即先在输入端口加电压,而将输出端口开路或短路,在输入端口测量其电压和电流,由传输方程得 () () ,即输出端口短路时令,即输出端口开路时令00111101010======2s s s 2U D B I U R I C A I U R 然后在输出端口加电压,而将输入端口开路或短路,在输出端口测量其电压和电流,由

单片机实验报告

单片机实验报告 学院:姓名:学号:指导老师:

目录 第一章实验内容、目的及要求 (2) 一、内容 (2) 二、目的及要求 (3) 第二章实验 (3) 实验一数字量输入输出实验 (3) 实验二定时器/计数器实验 (4) 实验三A/D、D/A转换实验 (11) 实验四串行通信设计 (20) 第三章实验体会 (28)

第一章实验内容、目的及要求 一、内容 实验一数字量输入输出实验 阅读、验证C语言程序功能。使用汇编语言编程,完成实验指导书之“3.1 数字量输入输出实验”基本实验项目。 实验二定时器/计数器实验 阅读、验证C语言程序功能。使用汇编语言编程,完成实验指导书之“3.3 定时/计数器实验”基本实验项目。 提高部分:定时器控制LED灯 由单片机内部定时器1,按方式1工作,即作为16位定时器使用每0.05秒钟T1溢出中断一次。P1口的P1.0-P1.7分别接八个发光二极管。编写程序模拟时序控制装置。开机后第一秒钟L1,L3亮,第二秒钟L2,L4亮,第三秒钟L5,L7亮,第四秒钟L6,L8亮,第五秒钟L1,L3,L5,L7亮,第六秒钟L2,L4,L6,L8亮,第七秒钟八个LED灯全亮,第八秒钟全灭,以后又从头开始,L1,L3亮,然后L2,L4亮……一直循环下去。 实验三A/D、D/A转换实验 阅读、验证C语言程序功能。使用汇编语言编程,完成实验指导书之“4.3 A/D转换实验”项目(P64)和“4.4 D/A转换实验”项目。 提高部分:(要求:Proteus环境下完成) 小键盘给定(并显示工作状态),选择信号源输出波形类型(D/A 转换方式),经过A/D采样后,将采样数据用LED灯,显示当前模拟信号值大小及变化状态。 实验四串行通讯实验 阅读、调试C语言程序功能。使用汇编语言编程,完成实验指导书之“3.7 串口通讯实验”项目。(要求:实验仪器上完成)提高部分:(要求:Proteus环境下完成) 利用单片机实验系统,实现与PC机通讯。功能要求:将从实验系统键盘上键入的数字,字母显示到PC机显示器上,将PC机键盘输入的字符(0-F)显示到单片机实验系统的数码管上。

哈工大计算机网络实验报告之五

计算机网络课程实验报告 实验5:利用Ethereal分析TCP、UDP、ICMP协议 继续学习Ethereal的使用; 利用Ethereal分析TCP、UDP和ICMP协议。 TCP协议采用了哪些机制保证可靠数据传输。(3分) 数据重传和数据确认应答机制 Traceroute的工作过程,用自己的话来描述,200字以内,超过酌情扣分。 (4分) 构造数据包,来检查到达一个主机时经过了哪些路由。主机发送给目的地址的数据包的TTL是从1逐个递增的,而数据包每到达一个路由器,它的TTL值就会减1,当TTL减到0时,该数据包被取消,传回一个数据包给主机,我们就能捕获这个路由器的IP地址了。如果收到"超时错",表示刚刚到达的是路由器,而如果收到的是"端口不可达" 错误,表示刚刚到达的就是目的主机,路由跟踪完成,程序结束。 阐述一下为什么应用程序开发者会选择将应用程序运行在UDP而不是TCP 之上?(3分) UDP没有拥塞控制机制,发送方可以以任何速率向下层注入数据。很多实时应用是

可以容忍一定的数据丢失的,同时又对速率有很高要求(比如在线视频播放),这时开发者会倾向选择UDP协议,避免使用TCP协议的拥塞控制机制产生的分组开销。 实验过程: 使用Ethereal分析TCP协议: (15分)得分:抓取本机与https://www.360docs.net/doc/7c9378395.html,/ethereal-labs/alice.txt通信过程中的网络数据包。根据操作思考以下问题: 客户服务器之间用于初始化TCP连接的TCP SYN报文段的序号(sequence number)是多少?在该报文段中,是用什么来标示该报文段是SYN报文段的? Seq=0 Flags中的syn位为1,ack位为0,说明是syn报文段 服务器向客户端发送的SYNACK报文段序号是多少?该报文段中,Acknowledgement字段的值是多少?https://www.360docs.net/doc/7c9378395.html,服务器是如何决定此值 的?在该报文段中,是用什么来标示该报文段是SYNACK报文段的? Seq=0 Ack=1,服务器根据客户端发送的SYN报文的Seq值加一后得到此值 Flags中的Ack和Syn位都为1,所以是SYNACK报文

哈工大电路自主设计实验

姓名 班级 学号 实验日期 节次 教师签字 成绩 影响RLC 带阻滤波器性能参数的因素的研究与验证 1.实验目的 (1)学习带阻滤波器的设计方法 (2)测量RLC 带阻滤波器幅频特性曲线 (3)研究电阻、电容和品质因素Q 对滤波器性能的影响 (4)加深对滤波器滤波概念的理解 2.总体设计方案或技术路线 (1)理论推导,了解滤波器的主要性能参数及与滤波器性能有关的因素 (2)设计RLC 带阻滤波器电路图 (3)研究电阻R 对于滤波器参数的影响 (4)研究电容C 对于滤波器参数的影响 (5)研究电感L 对于滤波器参数的影响 (6)合理设计实验测量,结合电容C 和电感L 对滤波器参数的影响 (7)将实际测量结果与理论推导作对比,并分析实验结果 3.实验电路图 R1V- V+

4.仪器设备名称、型号 函数信号发生器 1台 FLUKE190-104数字便携式示波表 1台 十进制电阻箱 1只 十进制电容箱 1只 十进制电感箱 1只 5.理论分析或仿真分析结果 带阻滤波器是指能通过大多数频率分量、但将某些范围的频率分量衰减到极低水平的滤波器,与带通滤波器的概念相对。 理想带阻滤波器在阻带内的增益为零。带阻滤波器的中心频率f o,品质因素Q和抑制带宽BW之间的关系为 仿真结果: R=2000Ω C=0.01uf L=0.2H

R=500Ω C=0.01uf L=0.2H

R=2000Ω C=0.05uf L=0.2H

R=2000Ω C=0.01uf L=0.1H R=2000Ω C=0.01uf L=0.5H

改变R时对比图 改变C时对比图 改变L时对比图 6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录) (1)电阻R对于滤波器参数的影响 任务1:电路如图所示,其中信号源输出Us=5V,电容C=0.01uF,电感L=0.2H,根据下表所示,选择不同电阻值测量输出幅频特性

哈工大电子技术实验四人无弃权表决电路(高分版)

姓名XXX 班级1108301 学号11108301xx 实验日期 6.5 节次9-11 教师签字成绩 四人无弃权表决电路 1.实验目的 1)掌握74LS20的逻辑功能和使用方法; 2)通过实验,进一步熟悉组合逻辑电路的分析与设计方法。 2.总体设计方案或技术路线 设计一个四人无弃权表决电路(多数赞成则提议通过,即三人以上包括三人),用74LS20来实现。 1)根据任务的要求,设计电路; 2)用代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件(与、或、非)构成电路; 4)最后,用实验来验证设计的正确性。 3.实验电路图 1)ABCD输入端,接数据开关;Z输出端接电平指示器; 2)改变ABCD的组态,记录Z的变化,验证逻辑函数的功能及设计的正确性。 4. 仪器设备名称、型号 1)实验箱 1台 2)双踪示波器 1台 3)双路直流稳压电源 1台 4)数字万用表 1只 5)74LS20 3片

5.理论分析或仿真分析结果 74LS20管脚图: 逻辑关系式: C AB D Z=ABC+BCD+ACD+ABD=AB BCDACD 逻辑图:

6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)真值表:

7.实验结论 由真值表可知,四人无弃权表决电路设计成功,实现了预期功能。 8.实验中出现的问题及解决对策 实验过程中由于有五个与门,而每个74LS20可实现两个与门,故线路连起来相当复杂,容易混淆,故在连接电路时安排好位置,标记好引脚和接头。 9.本次实验的收获和体会、对电路实验室的意见或建议 此次设计是对经典四人表决电路的一次创新,利用书本上的知识和以前类似实验的设计思路进行了此次实验,锻炼了实践能力,熟悉了组合逻辑电路的设计方法。 这次的实验绝对原创的,是对以前做过的实验的一次创新,复杂了不少,锻炼了能力。 10.参考文献 [1]电工学实验教程/王宇红主编.——北京:机械工业出版社,2009.8(2012.1重印)

哈尔滨工业大学微处理器原理与应用实验报告

微处理器原理与应用 实验报告 姓名:王烁行 同组人:张绍文、马文佳、孙蓦征 学号:1080520406 班级:0805204 指导教师:赵彬 院系:电子信息工程

1 实验一简单I/O口扩展实验(一) 交通灯控制实验 1.1实验要求 扩展实验箱上的74LS273作为输出口,控制八个发光二极管燃灭,模拟交通灯管理。 1.2实验目的 1.学习在单片机系统中扩展简单I/O接口的方法 2.学习数据输出程序的设计方法 3.学习模拟交通灯控制的实现方法 1.3实验原理 本实验需要用到实验箱上八个发光二极管中的六个,即红、黄、绿各两个。不妨将L1、L3、L5作为东西方向的指示灯,将L2、L4、L6作为南北方向的指示灯。而交通灯的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 各发光二极管共阳极,阴极接有与非门,因此使其点亮应使相应输入端为高电平。1.4 实验内容(包括实验电路和程序流程图) 按指导书搭接电路,调试程序并运行。

图1实验电路图 图2实验流程图

1.5 实验结果 实验现象与既定目标相符:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 1.6 实验结果讨论分析 实验中发现交通灯在黄灯和红绿灯切换的时候有抖动和延时,初步估计是单片机定时系统不稳定以及程序的延时冗余没有添加所导致。 1.7 实验程序代码 PORT EQU 0CFA0H ;片选地址CS0 ORG 0000H LJMP BEGIN ORG 4100H BEGIN: MOV A,#03H ;1、2亮,其余灭 ACALL SHOW ;调用273显示单元 ACALL T03 ;延时3秒 EW: MOV A,#12H ;东西导通;南北截止 ACALL SHOW ACALL T10 ;延时10秒 MOV A,#02H ;东西截止;南北截止 ACALL SHOW SNBY: MOV A,#04H ;3亮,其余灭 ACALL SHOW ;调用273显示单元 ACALL T02 ;延时2秒 MOV A,#00H ; ACALL SHOW ACALL T02 ;延时2秒 MOV A,#04H ;3亮 ACALL SHOW ACALL T02 ;延时2秒 MOV A,#00H ;灭 ACALL SHOW ACALL T02 ;2秒 MOV A,#04H ;3亮 ACALL SHOW

哈工大天线实验报告

Harbin Institute of Technology 天线原理实验报告 课程名称:天线原理 班级: 姓名: 学号: 同组人: 指导教师: 实验时间: 实验成绩: 注:本报告仅供参考 哈尔滨工业大学

一、实验目的 1. 掌握喇叭天线的原理。 2. 掌握天线方向图等电参数的意义。 3. 掌握天线测试方法。 二、实验原理 1. 天线电参数 (1).发射天线电参数 a.方向图:天线的辐射电磁场在固定距离上随空间角坐标分布的图形。 b.方向性系数:在相同辐射功率,相同距离情况下,天线在该方向上的辐射功率密度Smax与无方向性天线在该方向上的辐射功率密度S0之比值。 c.有效长度:在保持该天线最大辐射场强不变的条件下,假设天线上的电流均匀分布时的等效长度。 d.天线效率:表征天线将高频电流或导波能量转换为无线电波能量的有效程度。 e.天线增益:在相同输入功率、相同距离条件下,天线在最大辐射方向上的功率密度Smax与无方向性天线在该方向上的功率密度S0之比值。 f.输入阻抗:天线输入端呈现的阻抗值。 g.极化:天线的极化是指该天线在给定空间方向上远区无线电波的极化。 h.频带宽度:天线电参数保持在规定的技术要求范围内的工作频率范围。 (2).接收天线电参数:除了上述参数以外,接收天线还有一些特有的电参数:等效面积和等效噪声温度。 a.等效面积:天线的极化与来波极化匹配,且负载与天线阻抗共轭匹配的最佳状态下,天线在该方向上所接收的功率与入射电波功率密度之比。 b.等效噪声温度:描述天线向接收机输送噪声功率的参数。 2. 喇叭天线 由逐渐张开的波导构成,是一种应用广泛的微波天线。按口径形状可分为矩形喇叭天线与圆形喇叭天线等。波导终端开口原则上可构成波导辐射器,由于口径尺寸小,产生的波束过宽;另外,波导终端尺寸的突变除产生高次模外,反射较大,与波导匹配不良。为改善这种情况,可使波导尺寸加大,以便减少反射,又可在较大口径上使波束变窄。 (1).H面扇形喇叭:若保持矩形波导窄边尺寸不变,逐渐张开宽边可得H面扇

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

《Flexsim仿真实验》报告

安徽工业大学管理科学与工程学院 《Flexsim仿真实验》报告 专业物流工程班级流131 姓名潘霞学号 139094152 指导老师张洪亮 实验(或实训)时间十九周

实验报告提交时间 2016年7月7日 一、实验(或实训)目的、任务 1基本掌握全局表的使用 2理解简单的仿真语言 3简单使用可视化工具 二、实验(或实训)基本内容(要点) 运用Flexsim软件了解多产品加工生产系统仿真的过程。 模型介绍: 发生器产生四种临时实体,服从整数均匀分布,类型值分别为1、2、3、4,颜色分别为绿色、蓝色、白色、黄色,进入暂存区1;临时实体到达的时间间隔exponential(0,10,0) 然后随机进入处理器进行加工,可以使用的处理器有四个,不同类型的临时实体在处理器上的加工时间不同,详情如下表: 加工结束后,进入暂存区2存放,并由叉车搬运至货架。

同时,在各个处理器附近用可视化工具显示该处理器的实时加工时间。 三、实验(实训)原理(或借助的理论) 系统仿真的基本概念 系统、模型和系统仿真 系统式相互联系、相互作用、的对象的组合。可以分为工程系统和非工程系统。系统模型是反映内部要素的关系,反映系统某昔日方面本质特征,以及内部要素与外界环境关系的形同抽象。模型主要分为两大类:一类是形象模型,二类是抽象模型,包括概念模型、模拟模型、图标模型和数学模型等。 通过Flexsim可成功解决:提高设备的利用率,减少等候时间和排队长度,有效分配资源,消除缺货问题,把故障的负面影响减至最低,把废弃物的负面影响减至最低,研究可替换的投资概念,决定零件经过的时间,研究降低成本计划,建立最优批量和工件排序,解决物料发送问题,研究设备预置时间和改换工具的影响。 Flexsim软件的基本术语:Flexsim实体,临时实体,临时实体类型,端口,模型视图。 四、所使用到的实验设备、仪器、工具、图纸或软件等 计算机 Flexsim软件 五、实验(或实训)步骤 步骤一:模型布局 双击Flexsim图标打开应用程序,此时可看到Flexsim菜单、工具条、实

(完整版)哈工大-数值分析上机实验报告

实验报告一 题目:非线性方程求解 摘要:非线性方程的解析解通常很难给出,因此线性方程的数值解法就尤为重要。本实验采用两种常见的求解方法二分法和Newton法及改进的Newton法。 前言:(目的和意义) 掌握二分法与Newton法的基本原理和应用。 数学原理: 对于一个非线性方程的数值解法很多。在此介绍两种最常见的方法:二分法和Newton法。 对于二分法,其数学实质就是说对于给定的待求解的方程f(x),其在[a,b]上连续,f(a)f(b)<0,且f(x)在[a,b]内仅有一个实根x*,取区间中点c,若,则c恰为其根,否则根据f(a)f(c)<0是否成立判断根在区间[a,c]和[c,b]中的哪一个,从而得出新区间,仍称为[a,b]。重复运行计算,直至满足精度为止。这就是二分法的计算思想。

Newton法通常预先要给出一个猜测初值x0,然后根据其迭代公式 产生逼近解x*的迭代数列{x k},这就是Newton法的思想。当x0接近x*时收敛很快,但是当x0选择不好时,可能会发散,因此初值的选取很重要。另外,若将该迭代公式改进为 其中r为要求的方程的根的重数,这就是改进的Newton法,当求解已知重数的方程的根时,在同种条件下其收敛速度要比Newton法快的多。 程序设计: 本实验采用Matlab的M文件编写。其中待求解的方程写成function的方式,如下 function y=f(x); y=-x*x-sin(x); 写成如上形式即可,下面给出主程序。 二分法源程序: clear %%%给定求解区间 b=1.5; a=0;

%%%误差 R=1; k=0;%迭代次数初值 while (R>5e-6) ; c=(a+b)/2; if f12(a)*f12(c)>0; a=c; else b=c; end R=b-a;%求出误差 k=k+1; end x=c%给出解 Newton法及改进的Newton法源程序:clear %%%% 输入函数 f=input('请输入需要求解函数>>','s') %%%求解f(x)的导数 df=diff(f);

实验报告(单片机)

实 验 报 告 实验课程:单片机原理及应用 实验名称:实验1 ——原理图绘制练习 班级:13自动化2班学号:201310320226 :李浩 教师:张玲成绩: 实验日期:2016 年 5 月24 日

一、实验目的:学习Proteus 软件的使用,掌握单片机原理图的绘图方法 二、实验内容: 1、绘制“计数显示器”电路原理图; 2、利用提供的hex文件验证此电路的运行效果。 三、实验要求: 提交的实验报告中应包括:1、绘图方法简述,要求说明元件与电源的选取、摆放及属性编辑,总线与标签的画法等内容;2、电路原理图;3、仿真运行效果展示,要求就仿真文件加载方法及3~4幅运行截图进行简要说明;4、实验小结,说明遇到的主要问题或实验1体会等。 参考电路原理图如下: 元件类别电路符号元件名称 Microprocessor ICs “U1”80C51 Miscellaneous “X1”/12MHz CRYSTAL Capacitors “C1”~“C2”/1nF CAP Capacitors “C3”/22μF CAP-ELEC Resistors Packs “RP1”/7-100ΩRESPACK-7 Resistors “R1”/100ΩRES Optoelectronics “LED1”~“LED2”7SEG-COM-CAT-GRN Switches & Relays “BUT”BUTTON ————————————————

1、绘图方法简述 Protues绘图:打开之后首先新建设计,然后按照元件英文名查找器件,单击鼠标即可放置好元件,单击引脚即可连好导线。点击左方标签后即可在相应导线上放置标签,点击总线图标后即可画出总线。Keic中生成hex文件后在protues中双击单片机芯片即可下载仿真程序。点击左下角播放开始仿真。 2、电路原理图

哈工大威海计算机网络实验报告1资料

计算机网络与通信实验报告(一)学号姓名班级报告日期 2015.04.15 实验内容网络常用命令的使用 实验目的1.熟悉网络命令的使用,例如ping,tracert,netstat,ipconfig等,对结果进行分析判断。 2.熟悉dns的层次查询,以及smtp协议。 实验预备知识结合实验报告相关知识以及老师课堂演示、笔记。 实验过程描述1.按照实验报告步骤所指,一步步熟悉ping tracert ipconfig 等网络命令,并对结果进行相应分析、截图。 2.Dns层次查询时,首先网上搜索全球13 个根域名服务器的ip,选择其中一个ip 对学校主页https://www.360docs.net/doc/7c9378395.html, 进行层次分析,依次进行cn https://www.360docs.net/doc/7c9378395.html, https://www.360docs.net/doc/7c9378395.html, https://www.360docs.net/doc/7c9378395.html, 的域名分析,最终得到主页ip,然后使用ping命令ping得主页ip 相比较,结果一致,查询成功。 3.熟悉掌握SMTP协议。Dos 命令下依次输入telnet相关命令,并使用事先转换成base64 的用户名、密码登陆邮箱。登陆成功后给自己的邮箱发送信息,最后退出。操作、邮箱截图如下。 实验结果见表格下方截图。 实验当中问题及解决方法1、telnet命令刚开始dos无法识别,属于不认识的命令。上网查询资料后,在控制面板中设置后成功解决。 2、熟悉SMTP协议时,telnet 登陆邮箱并发送信件,期间出现好多错误,比如单词拼写错误,指令错误。重复多次后最终成功实现。 成绩(教师打分)优秀良好及格不及格

实验相关截图 一、网络命令的使用 1.ping 命令

2.tracert 命令

哈工大电工实验自主设计实验最终报告555组成定时器和计时器

姓名班级学号 实验日期节次教师签字成绩 定时器和计时器 1.实验目的 (1)用555定时器构成1s,10s和60s的定时器。 (2)用两个161芯片构成一个1分钟以内的计时器。 2.总体设计方案或技术路线 (1)通过调节RC的大小来调节555输出脉冲的周期,在低电平触发端2连高电平A,当按下按钮再松开时,就输入了高电平。输出端3连接指示灯。 (2)两个161芯片组成60进制计数器,将两个161芯片的输出连接数码显示管。输入连接到1赫兹的脉冲上。 3.实验电路图 定时1s

定时10s 定时60s

计时器电路4.仪器设备名称、型号和技术指标 555定时器一个 74LS161芯片两个 电阻:240kΩ一个 910kΩ一个 3MΩ一个 3.9MΩ一个 4.7MΩ两个 电容: 1μF一个 2.2μF两个 四引脚LED数码显示管两个 直流稳压电源 1Hz时钟脉冲输入源 实验箱 5.理论分析或仿真分析结果 理论分析:

(1)定时器电路:开关在未动作时是闭合的,连在高电平上,按下开关,开关断开, 接入低电平,然后迅速恢复到闭合状态,输入了一个脉冲,555定时器开始定时,根据555单稳态触发器输出脉冲的宽度公式RC t p 1.1=,通过调节电阻R 和电容C 的值使脉冲的周期为1s,10s 和60s. 当R=910k Ω,C=1μF 时,s t p 001.110101.91.165=???=- 当R=3.9M Ω+240k Ω=4.14M Ω,C=2.2μF 时,s t p 02.10102.21014.41.166=????=- 当R=4.7M Ω×2+3M Ω=12.4M Ω,C=4.4μF 时, s t p 016.60104.41024.11.167=????=- 6. 详细实验步骤及实验测量数据记录(包括各仪器、仪表量程及内阻的记录) 安装555芯片、74LS00和两个74LS161芯片,调节直流稳压电源输出5V 电压,接到实验箱上。 (1)将555芯片的8引脚和4引脚相连,再连接到+5V 电源上,将1引脚接地,将8引脚连接910k Ω电阻上,将电阻另一侧连接到6引脚,将6引脚连接到7引脚,将7引脚连接到1μF 电容上,再将电容另一侧接地。将2引脚接逻辑开关A ,将5引脚连接到0.01μF 电容上,再将电容另一侧接地。将引脚3连接到电平指示灯上。 开通直流稳压电源,按下逻辑开关A ,记录电平指灯点亮的时间,为1.0s 。 关闭直流电源。 将3.9M Ω和240k Ω的电阻串联,将连在910k Ω两端的导线连接到两个串联电阻上,将连接在1μF 电容两端的导线连接到2.2μF 电容两端,并将电容接地。 开通直流稳压电源,按下逻辑开关,记录时间9.7s 。 关闭直流电源。 将两个4.7M Ω和一个3M Ω电阻串联,用它代替3.9M Ω240k Ω串联电阻连入到电路中,将两个2.2μF 电容并联。 开通直流稳压电源,按下逻辑开关,记录时间为58.8s 。 关闭直流电源。 (2)将两个161芯片的16引脚连到+5V 电源上,将8引脚接地。将74LS00芯片的14引脚接到+5V 电源,7引脚接地。将第一个161芯片的2引脚接到1Hz 的时钟脉冲上,11、12、13、14引脚分别连到第二个数码显示管的D 、C 、B 、A 上,并11和13引脚连接到00芯片的1和2引脚,将00芯片的3引脚连接到第一个161的1引脚和第二个161的2引脚;将第二个161芯片的11、12、13、14引脚连接到第一个数码显示管的D 、C 、B 、A 上将12

七人表决器实验报告

竭诚为您提供优质文档/双击可除七人表决器实验报告 篇一:哈工大电工学新技术实践实验报告-7人表决器 总成绩: 一、设计任务 1、有七人参与表决,显示赞同者个数。 2当赞同者达到及超过4人时,绿灯显示表示通过。 二、设计条件 本设计基于软件multisim10.0.1进行仿真,在电机楼实验室20XX5进行验证。 三、设计要求 1、熟悉74Ls161,74Ls151,数码管的工作原理。 2、设计相应的电路图,标注元件参数,并进行仿真验证。 四、设计内容 1.电路原理图(含管脚接线)电路原理图如图1所示 图1电路原理图 2.计算与仿真分析

仿真结果如图2、3、4所示 图2仿真结果 图4仿真结果 4.调试流程 调试流程如图5所示 图5调试流程 5.设计和使用说明 74Ls151芯片为互补输出的8选1数据选择器,引脚排列如图6所示,功能见表1。选择控制端(地址端)为c~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,g为使能端,低电平有效。 (1)使能端g=1时,不论c~A状态如何,均无输出(Y=0,w=1),多路开关被禁止。 (2)使能端g=0时,多路开关正常工作,根据地址码c、b、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。如:cbA=000,则选择D0数据到输出端,即Y=D0。如:cbA=001,则选择D1数据到输出端,即Y=D1,其余类推。 图674Ls151引脚排列 表174Ls151功能表 74Ls161功能: (1)异步置“0”功能:接好电源和地,将清除端接低

电平无论其他各输入端的状态如何,测试计数器的输出端,如果操作无误Q3~Q0均为0。 (2)预置数功能:将清除端接高电平,预置控制端接低电平,数据输入端D3~D0置0011,在cp的上升沿作用后,测试输出端Q3~Q0的电平。如果操作准确,D3~D0的数据为0011,说明D3~D0的数据已预置到Q3~Q0端。 (3)计数和进位功能:将LD、cr、ceT、cep端均接高电平,cLK端输入单脉冲,记录输出端状态。如果操作准确,每输入一个cp 脉冲,计数器就进行一 篇二:课程设计报告---七人表决器设计 电子综合设计 题目 学院 专业 班级学生姓名指导教师 七人抢答器设计计信学院电子信息工程 20XX年6月18日 一、设计原理 所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。七人表决器顾名思义就是由七个人

相关文档
最新文档