基于眼图和抖动的LVDS传输分析(译文)

基于眼图和抖动的LVDS传输分析(译文)
基于眼图和抖动的LVDS传输分析(译文)

信息与计算科学杂志11:6(2014) 1795-180 2014年4月10日获取网址:https://www.360docs.net/doc/84114981.html,

基于眼图和抖动的LVDS传输分析(译文)

Yongrui Liu,Wenyi Liu,Chengfang Zhen.clq

电子测量技术国家重点实验室,中国北方大学

030051太原,中国

摘要

LVDS(低电压差分信号)广泛应用于高速数据通信和图像传输,但AC耦合传输过程中会使连续传输的“0”或“1”信号失真。解决交流耦合中的失真问题的一个有效的解决方案就是通过使用8B / 10 B编码实现直流平衡。本文阐明了8 B / 10 B编码的设计方法以及眼图和抖动分析理论。然后列出了仿真结果以及实际的传输和接收的数据。文章通过眼图和检测信号抖动来分析编码信号质量。然后把分析的结果和实际测试结果进行比较。最后,它证明了信号质量确实得到了改进。

关键词LVDS;8B/10B 编码;眼图;抖动;AC 耦合;DC 平衡

1介绍

因为伴随着数据传输速度的提升数据传输的容量不断提高,信号传输的质量问题吸引了越来越多的关注。在图像传输和数据通信领域,LVDS传输技术是一种得到广泛应用的高速串行技术。

LVDS是一种以恒定3.5mA电流传输数据的低电压差分信号。因为接收机的输入阻抗很高,整个电流事实上完全加在负载电阻上(100Ω)。最后在接收机输入端它产生350毫伏的电压。当电流的方向改变,在接收机输入端形成相同的电压振幅和相反的极性[1]。由于使用差分线路,两个相邻输电导体中的电流大小是相同的,但方向相反。所以它产生非常低的EMI并且可以消除共模干扰。因为是交流耦合的LVDS信号传输,为了避免交流耦合的问题我们需要使用直流平衡编码的方式来进行高速信号传输。8B/10B编码是实现直流平衡的非常好的方式。作为一种直观并且快速的信号质量检测方法,眼图分析方法可以用于分析串行信号抖动和误码率。本文中,基于眼图理论和抖动情况,测试传输之前和传输之后

的8B/10B编码的LVDS信号。本文从抖动的角度,证明了8B/10B编码能够实现直流平衡,且有效改善信号的质量。

2交流耦合和直流平衡

LVDS传输过程通常采用交流耦合技术,就是一个电容器被放在差分信号路径终端。一个标准的终端负载电阻可以使设备工作在不同直流电压下。交流耦合电容器可以阻隔所有直流分量的信号[2]。交流耦合可以减小两层电路板对地的电位而差产生的影响。因为如果电缆连接的硬件对地存在电位差,相应的电压差会影响差分信号。它甚至会导致可靠性出现问题,交流耦合可以有效地消除直流电位差。由于电容的存在,只有当信号状态发生变化时,电流才能流入接收器。如果信号是连续的0或1,接收方会慢慢稳定到一个测量值,实际上电容扮演的角色是一个高通滤波器。

因此,在交流耦合系统中,直流平衡的方法应该被使用。这种方法用一组数据来表示一定数量的连续的0和1。这样就不会出现长时间连续0或1的一行数据出现在电容的两端。它可以提高噪声容限和信号质量[3]。8B/10B编码中使用这种设计实现直流平衡。

3测试平台的搭建

测试平台的结构设计如图1所示。发射端口包括FPGA芯片和LVDS芯片。发送部分发送的数据是递增数。接收部分用于接收主板上的FIFO控制信号。当FIFO已满,传输板发送无效的数据(0000011111)。主板包括FPGA,LVDS芯片,USB芯片(CY7C68013)。FPGA控制LVDS接收递增数据,数据进入FPGA内部的FIFO(IP核)。当FIFO半满时,CY7C68013开始从FIFO移动数据,通过USB接口传到电脑。当FIFO充满时,FPGA将发送一个LVDS信号到主板上。它将会生成一个无效的数据。

图1 测试平台设计框图

4数据结构和编码设计

4.1数据结构

在这个实验中,使用递增的十六进制数作为有效的测试数据。数据帧格式为EB(帧头)90(帧尾),数据递增(00~f9),和4字节的帧计数(00 00 00 00 FF FF FF FF),总计是256字节。因为使用10位LVDS芯片,将“10”加在每一个8位有效数字

之前(10xxxxxxxx)。无效的数据表示为“0000011111”。FPGA数据最高位是“10”的保留,并且将低8位保存到FIFO中(IP核),舍弃无效数据。

4.2 8B/10B编码设计

高速串行信号的产生需要在发送前进行数据编码。

图2 8B/10B编码转换图

8B/10B编码方式的运行差异(RD,数据中“0”减去“1”的数目)为+2.0或者-2。在10B编码中,如果0的数量超过1的数量大于2,它被定义为RD+。如果1的数量超过0的数量大于2,它被定义为RD-。如果0和1的数量是相等的,那么就是完美的平衡态。代码被分为控制-RD编码,RD+编码,和RD-编码。

控制-RD编码部分的功能是控制RD-和RD+之间的转换。这使得最多两个连续10B编码后运行差异就会变成0。图3是一个控制转换的原理图。在RD-状态,如果0和1的数量相等,这是一个完美的平衡态,并且状态会保持不变。相反,如果0的数量超过1的数量大于2,就会进入RD+状态。在RD+状态,如果0和1的数量相等,这是一个完美的平衡态,并且状态会保持不变。相反,如果1的数量超过0的数量大于2,就会进入RD-状态。

图3 编码状态转换原理图

在RD+和RD-状态,有8B编码表。它分为3B/4B和5B/6B。在5B/6B的转换中,只有32种类型的关系。硬件描述语言(VHDL)使用的方法是组件声明和实例化程序。3B/4B编码不但和RD有关,还和6B的当前值有关。图4是一个8B/10B 编码框图。图5是8B/10B编码仿真图。

图4 8B/10B编码图

图5 8B/10B编码仿真图

4.3 8B/10B解码设计

8B/10B解码设计的关键是使用一个外部的参考时钟恢复时钟信号。这就需

要严格控制参考时钟源和抖动。解码的过程是将10B分为6B和4B两部分,然后分别完成6B/5B的转换以及3B/4B的转换。最后,8B由5B和3B组合获得。图6是8B/10B解码的示意图。这种转变是一种多对一的关系,所以结果是唯一的一个。我们使用软件Modelsim SE 6.5f完成模拟的编码和解码。图7是

模拟8B/10B编码和解码的仿真原理图。

图6 8B/10B解码原理图

图7 8B/10B解码仿真图

5眼图分析

5.1眼图

在高速串行信号分析中,眼图分析是一种分析错误信息的重要方式。比特误码率是最终的测试结果。眼图在一个示波器上获得,将扫描所得的每一个码元波形重叠在一起,所有的码元都是由1到0和由0到1的数据传送,在数据之前和数据之后都是变化多样的1和0的组合数据,其本身也是1和0的常量组合[4]。眼图可以用来测量信号质量和信号完整性,并且还它可以判断串行信号振幅和时间信息。噪音会影响眼图的垂直开放程度。抖动会影响眼图的侧向开放程度。在实验中,我们总是希望眼图的开放程度大一些。

当测试信号进行长距离传输,就会有传输损耗。图8所示是一个低通滤波效果。对于低通滤波器,低频信号衰减小,高频信号衰减较大。所以,当一个字符串的高频率矩形脉冲信号通过损耗输电线路,信号衰减明显。所以在接收端,它导致眼图的高度和侧向噪声容限减小[5]。

图8 数据脉冲的低通滤波效果

5.2 抖动和眼图

在数字系统中,抖动是信号完整性的核心内容之一,它是时钟信号和串行信号的一个重要的参数。信号相对于理想参考点出现一定偏移时就是抖动,只有在边缘的信号它才有意义。图9是信号在时间域抖动的特征。实际的波形可能偏早或晚于理想的波形[6]。

图9 信号在时间域抖动特征

在频域,抖动频谱的中心就是信号的工作频率。它服从高斯分布。当远离频率的中心时振幅逐渐减弱。每一个频率的信号可以是不同频率分量的组合信号。不是中心频率的信号,可以被视为噪声的频域(相位噪声)。抖动和相位噪声在本质上是一样的。他们是时域分析和频域分析的结果[7、8]。

一个正弦波输出信号可以被表示为:

V(t)=[A0+ε(t)]sin[2πf0t+φ(t)](1)

A0是额定电压的峰值,ε(t)是幅度偏差,f0是额定频率,φ(t)是相位偏差。ε(t)和φ(t)在理想状态下都是0。然而,振荡器并不理想,由于在饱和过程中,ε(t)几乎可以被忽略,公式(1)可以被写为:

V(t)=A0sin?[2π

T0(t+φ(t)

2πf0

)](2)

T0=1

f0

当x=2π,则sin(x) = 0。因此,公式(2)可以表示为:

t=T0+?T=T0(1?φ(t)

)(3) 所以抖动可以被描述为与周期相关的方程:

J(t)=?T

T0

=φ(t)

(4) 可知抖动的变化与相位的变化成正比关系。抖动、相位噪声和频率噪声有以下关系: 相位可以被表示为:

Φ(t)=2πf d+φ(t)(5) 频率可以被表示为:

f(t)=1

d

dt

Φ(t)=f d+1

d

dt

φ(t)(6)

当我们将公式(4)代入公式(6),我们就可以得到频率噪声和抖动的关系。

?f=f(t)?f(d)=1

d

dt

φ(t)=d

dt

J(t)(7)

所以在频谱上,抖动的速度变化显示为频率的噪声模式。

在这个实验中,并行信号传输频率是20MHz。所用芯片将10位并行数据转换为12位

串行数据,包括起始位和停止位。所以串行信号传输频率是240MHz。如果抖动用UI表示。在这个实验中,1UI = 1/240MHz = 4.167ns。

高速信号的质量问题通常是由抖动造成的。本文使用眼图对信号质量进行评估。当眼图宽度越大,抖动越小,系统工作越稳定。眼图宽度是接收到的波形的采样时间没有串扰的效果。眼图高度确定系统的边缘噪声。图10显示了抖动和眼图之间的关系。然后我们可以通过公式(4)得到抖动效果的公式(8)。

J(t)=ΔT

T0=t tcs

t ui

(8)

图10 眼图和抖动的关系

5.3 测试结果

在LVDS传输线的接收端,我们在阻抗100Ω处测得眼图。图11是没有按

8B/10B编码进行15米传输的眼图。图12是按8B/10B编码进行15米传输的眼图。图13是没有按8B/10B编码进行20米传输的眼图。图14是按8B/10B编码进行20米传输的眼图。

图11非8B/10B编码进行15米传输的眼图

图128B/10B编码进行15米传输的眼图

图13非8B/10B编码进行20米传输的眼图

图148B/10B编码进行20米传输的眼图

通过分析图11、图12、图13、图14,我们能够得到眼图的测试数据。显然,在同样的240Mb/s传输速率下,有或没有编码,我们比较传输距离为15m和20m 的状况。通过结果可以看出传输距离为15m的眼图更大一些,抖动更小。这表明传输长度影响传输性能。距离较短的传输质量更好。与此同时,在表1中,当信号传输相同的长度,并且传输速度相同,使用8B/10B编码信号抖动小于没有8B/10B编码的信号。这表明交流耦合影响传输性能。8B/10B编码可以改变有效信号质量并且减少抖动。最后,我们用电脑和UltraEdit-32软件查验数据。图15显示了实际获得的数据。

图15PC机获得的实际数据

6结论

通过眼图分析和抖动分析我们可以直观、有效地分析高速串行信号质量。在相同的传输速率下,长距离传输的传输损耗和干扰将会更大。在相同传输时,由于交流耦合的存在,8B/10B编码可以在直流平衡中起重要的作用,可以改善传输效率。此外,当我们设计LVDS传输线路时,连接走线的孔,多边形线和非阻抗匹配都是传输效果重要的影响因素。我们应该尽量减少阻抗的不连续性。

参考文献

[1]National Semiconductor Company, LVDS User Manual, Fourth Edition, 2008

[2] H. J. Hyun, J. B. Bae, D. H. Kah, K. H. Kang, H. J. Kim, H. Park, Development of an ACcoupled silicon pad array detector, Journal of the Korean Physical Society, V ol. 62, No. 9, May2013, 1233-1238

[3] S. Khamseh, M. Nose, T. Kawabata, K. Matsuda, S. Ikeno, Influence of total gas pressure on the microstructure and properties of CrAlN films deposited by a pulsed

DC balanced magnetron sputtering system, Journal of Alloys and Compounds, V ol. 503, Iss. 2, 6 August 2010, 389-391

[4] National Semiconductor Company, Long Transmission Lines and Data Signal Quality, March 1992

[5] Jihoon Oh, So-Yeong Jeong, Jaeseung Jeong, The timing and temporal patterns of eye blinking are dynamically modulated by attention, Human Movement Science, V ol. 31, Iss. 6, December 2012, 1353-1365

[6] Hui Wang, Ying-mei Chen, Lv-fan Yi, Guan-guo Wen, Jitter analysis and modeling of a 10 Gbit/s SerDes CDR and jitter attenuation PLL, The Journal of China Universities of Posts and Telecommunications, V ol. 18, Iss. 6, December 2011, 122-126

[7] F. Corr?ea Alegria, Precision of harmonic amplitude estimation on jitter corrupted data using sine fitting, Signal Processing, V ol. 92, Iss. 3, March 2012, 807-818

[8] Saman S. Abeysekera, Antonio Cantoni, The impact of ‘phase’ measurement on waiting time jitter simulations, Signal Processing, V ol. 82, Iss. 5, May 2002, 759-774

(完整word版)SerDes知识详解

SerDes知识详解 一、SerDes的作用 1.1并行总线接口 在SerDes流行之前,芯片之间的互联通过系统同步或者源同步的并行接口传输数据,图1.1演示了系统和源同步并行接口。 随着接口频率的提高,在系统同步接口方式中,有几个因素限制了有效数据窗口宽度的继续增加。 ?时钟到达两个芯片的传播延时不相等(clock skew) ?并行数据各个bit的传播延时不相等(data skew) ?时钟的传播延时和数据的传播延时不一致(skew between data and clock) 虽然可以通过在目的芯片(chip #2)内用PLL补偿时钟延时差(clock skew),但是PVT变化时,时钟延时的变化量和数据延时的变化量是不一样的。这又进一步恶化了数据窗口。 源同步接口方式中,发送侧Tx把时钟伴随数据一起发送出去, 限制了clock skew对有效数据窗口的危害。通常在发送侧芯片内部,源同步接口把时钟信号和数据信号作一样的处理,

也就是让它和数据信号经过相同的路径,保持相同的延时。这样PVT变化时,时钟和数据会朝着同一个方向增大或者减小相同的量,对skew最有利。 我们来做一些合理的典型假设,假设一个32bit数据的并行总线, a)发送端的数据skew = 50 ps ---很高的要求 b)pcb走线引入的skew = 50ps ---很高的要求 c)时钟的周期抖动jitter = +/-50 ps ---很高的要求 d)接收端触发器采样窗口= 250 ps ---Xilinx V7高端器件的IO触发器 可以大致估计出并行接口的最高时钟= 1/(50+50+100+250) = 2.2GHz (DDR)或者1.1GHz (SDR)。 利用源同步接口,数据的有效窗口可以提高很多。通常频率都在1GHz以下。在实际应用中可以见到如SPI4.2接口的时钟可以高达DDR 700MHz x 16bits位宽。DDR Memory接口也算一种源同步接口,如DDR3在FPGA中可以做到大约800MHz的时钟。 要提高接口的传输带宽有两种方式,一种是提高时钟频率,一种是加大数据位宽。那么是不是可以无限制的增加数据的位宽呢?这就要牵涉到另外一个非常重要的问题-----同步开关噪声(SSN)。 这里不讨论SSN的原理,直接给出SSN的公式:SSN = L *N* di/dt。 L是芯片封装电感,N是数据宽度,di/dt是电流变化的斜率。 随着频率的提高,数据位款的增加,SSN成为提高传输带宽的主要瓶颈。图1.2是一个DDR3串扰的例子。图中低电平的理论值在0V,由于SSN的影响,低电平表现为震荡,震荡噪声的最大值达610mV,因此噪声余量只有1.5V/2-610mV=140mV。

眼图常用知识介绍

眼图常用知识介绍 关于眼图及其测量大家已经做了较多的讨论传输指标测试大全其侧重于眼图的定义和测量光眼图分析张轩/22336著 以及色散对长距离传输后的眼图的影响 如下降时间消光比信噪比以及如何从各个方面来衡量一个眼图的优劣 现在我们公司常用的测量眼图的仪器为CSA8000 1眼图与常用指标介绍 下图为一个10G光信号的眼图右边一栏为这个光信号的一些测量值ExdB交叉点比例QF平均光 功率Rise下降时间峰值抖动 RMSJ 消光比定义为眼图中电平比电平的值传输距离又不同的要求G.957的建议 衡量器件是否符合要求除了满足建议要求之外 一般的对于FP/DFB直调激光器要求EML电吸收激光器消光比不小于10dBμ?ê??a2¢2?òa??×???1a±è

可以无限大将导致激光器的啁啾系数太大不利于长距传 输与速率的最低要求消光比大0.5~1.5dB???ùò???3??a?′ò???êy?μê?o|????1a±èì???á? μ????ó??2úéú?òí¨μà′ú??3?±ê??óD2úéú?ó??2¢?òí¨μà′ú???ú×???±êòa?ó?à′ó???éò? óéóú′?ê?1y3ì?Dμ????óê?2àμ???2?μ??à??óú·¢?í2àé?ò?±£?¤?óê?2àμ???2?μ?±èày?ú′ó??50ê1μ??óê?2àμ?áé???è×???ò?°?·¢?í2à??2?μ?±èày?¨òé?????ú4045 Q因子综合反映眼图的质量问题表明眼图的质量越好 光功率一般来说1???????ú2??ó1a?¥??μ??é????越高越好越高越好 如果需要准确地测量光功率 信号的上升时间下降的快慢 的变化的时间下降时间不能大于信号的周期的40如9.95G信号要求其上升 峰可以定性反映信号的抖动大小这两个测量值是越小越好如Agilint 的37718 在测量抖动的时候才能保证测量值相对准确 做为一个比较参考一般在发送侧的测量值都大于30dB

FPGA眼图

高速FPGA系统的信号完整性测试和分析 张楷 泰克科技(中国)有限公司 摘要:随着FPGA器件的速度和容量日益提高,各种高速的并行和串行接口都广泛应用在FPGA上,其中典型的高速串行总线速率超过1Gb/s,这为设计和应用人员提供了极大的灵活性,同时对于FPGA IO的测试和验证也带来了新的挑战。本文针对FPGA中各种高速串行总线的信号,提供全面的信号完整性测试和分析方法。 关键词:FPGA,高速串行信号, 信号完整性, 抖动,眼图测试,采样示波器 1. 引言 随着FPGA的设计速度和容量的明显增长,当前流行的FPGA芯片都提供高速总线,例如DDR内存总线,PCI-X总线、SPI总线;针对超高速的数据传输,FPGA通过集成SerDes提供高速串行IO,支持各种诸如PCI-E、GBE、XAUI等高速串行总线协议,为各种不同标准的高速传输提供极大的灵活性。典型的高速FPGA器件提供的每一条物理链路的速度从200Mbps到高达10Gbps,高速IO的测试和验证更成为传统专注于FPGA内部逻辑设计的设计人员面临的巨大挑战。这些挑战使设计人员非常容易会把绝大部分设计周期时间放在调试和检验设计上。 为了加速对于FPGA中高速并行和串行总线的调试和验证,它需要使用新的高速信号完整性测试工具和分析方法。本文根据当前FPGA的高速总线测试和分析,提供了最新的方法和工具。 图1是一个典型FPGA的提供的各种高速接口。对于这些速度从200M到高达10G的高速总线,信号完整性的测试和分析是保证设计成功的基础和关键。 图1 典型FPGA的提供的各种高速接口

2. 高速串行总线眼图测试 对于采用内嵌SERDES电路的FPGA芯片,其高速串行信号进行测试和验证,最基本的工具是通过示波器进行对其眼图测试。因为眼图能够非常直观的反映一条被测信号路径上的整体信号质量问题,包括信号的抖动量大小(眼宽)以及幅度的大小(眼高)等重要信息。图2是一个高速数据信号的眼图形成的过程。 图2 眼图的形成过程 从眼图的形成过程可以看出,一个NRZ编码的高速数据无论传输何种码流,都可以看作一个重复信号,经过一定时间和样本数的累计,它反映整个传输链路上的总体信号质量。 3. 选择合适的眼图测试工具 3.1 示波器带宽的要求 示波器是进行高速串行信号眼图测试的首选工具。无论是用高速实时示波器还是采样示波器(Sampling Scope)得到眼图,带宽是对示波器的基本要求。以一个NRZ编码的高速串行总线为例,它理想的波形是一个方波信号,方波信号是由它的基波(正弦波)和奇次谐波(3次,5次,7次…)组成。根据信号的传输速率和上升时间,选择尽量高带宽和最快上升时间的示波器,这样测试结果保留更多的谐波分量,构建高精度的眼图测试结果。 示波器带宽反映了对被测信号幅度上的衰减,而示波器上升时间决定了对被测信号上升时间测试的误差。经典的示波器带宽和上升时间的关系为:带宽×上升时间=0.35-0.45,0.35-0.45为常系数。每一个高性能示波器除了提供带宽的指标外,还会给出上升时间,表征其对阶跃信号的测试能力和精度。示波器测试结果的经验公式为: 高速串行数据(NRZ编码)提供一般为数据率,其最高的基频为比特率的一半。即假设给定一个NRZ编码的串行信号,码型为时钟码型(即传输的数据为1-0-1-0-1),从频域的角度观测该信号,它成为一个基波为数据率一半的方波信号,这个时钟码型是数据变化最快的情况。以泰克TDS6154C 大于15GHz带宽的实时示波器为例,它可以测试保留6.25Gb/s (2×XAUI)信号的五次谐波,以及10Gb/s (XFI)信号的三次谐波。下表列出了不同的高速串行总线在不同测

光纤通信系统测量中的眼图分析方法

实验四 光纤通信系统测量中的眼图分析方法测试实验 一、实验目的 1、了解眼图的形成过程 2、掌握光纤通信系统中眼图的测试方法 二、实验仪器 1、ZYE4301F 型光纤通信原理实验箱1台 2、20MHz 模拟双踪示波器1台 3、万用表1台 三、实验原理 眼图是衡量数字光纤通信系统数据传输特性的简单而又有效的方法。眼图可以在时域中测量,并且可以用示波器直观的显示出来。图1是测量眼图的系统框图。测量时,将“伪随机码发生器”输出的伪随机码加在被测数字光纤通信系统的输入端,该被测系统的输出端接至示波器的垂直输入,用位定时信号(由伪随机码发生器提供)作外同步,在示波器水平输入用数据频率进行触发扫描。这样,在示波器的屏幕上就可以显示出被测系统的眼图。 伪随机脉冲序列是由n 比特长,2n 种不同组合所构成的序列。例如,由n=2比特长的4种不同有 组合、n=3比特长的8种不同的组合、n=4比特长16种不同的组合组成,直到伪随机码发生器所规定的极限值为止,在产生这个极限值以后,数据序列就开始重复,但它用作为测试的数据信号,则具有随机性。如图2所示的眼图,是由3比特长8种组合码叠加而成,示波器上显示的眼图就是这种叠加的结果。 分析眼图图形,可以知道被测系统的性能,下面用图3所示的形状规则的眼图进行分析: 1、当眼开度 V V V ?-为最大时刻,则是对接收到的信号进行判决的最佳时刻,无码间干扰、信号无畸变时的眼开度为100%。 2、由于码间干扰,信号畸变使眼开度减小,眼皮厚度V V ?增加,无畸变眼图的眼皮厚度应该等于零。 图1眼图的测试系统

3、系统无畸变眼图交叉点发散角b T T ?应该等于零。 4、系统信道的任何非线性都将使眼图出现不对称,无畸变眼图的正、负极性不对称度- +-++-V V V V 应该等 于零。 5、系统的定时抖动(也称为边缘抖动或相位失真)是由光收端机的噪声和光纤中的脉冲失真产生的,如果在“可对信号进行判决的时间间隔T b ”的正中对信号进行判决,那么在阈值电平处的失真量ΔT 就表示抖动的大小。因此,系统的定时抖动用下式计算: 定时抖动= %100??Tb T

(精选)眼图观察测量实验

实验12 眼图观察测量实验 一、实验目的 1.学会观察眼图及其分析方法,调整传输滤波器特性。 二、实验仪器 1. 眼图观察电路(底板右下侧) 2. 时钟与基带数据发生模块,位号:G 3. 噪声模块,位号E 4. 100M双踪示波器1台 三、实验原理 在整个通信系统中,通常利用眼图方法估计和改善(通过调整)传输系统性能。 我们知道,在实际的通信系统中,数字信号经过非理想的传输系统必定要产生畸变,也会引入噪声和干扰,也就是说,总是在不同程度上存在码间串扰。在码间串扰和噪声同时存在情况下,系统性能很难进行定量的分析,常常甚至得不到近似结果。为了便于评价实际系统的性能,常用观察眼图进行分析。 眼图可以直观地估价系统的码间干扰和噪声的影响,是一种常用的测试手段。 什么是眼图? 所谓“眼图”,就是由解调后经过接收滤波器输出的基带信号,以码元时钟作为同步信号,基带信号一个或少数码元周期反复扫描在示波器屏幕上显示的波形称为眼图。干扰和失真所产生的传输畸变,可以在眼图上清楚地显示出来。因为对于二进制信号波形,它很像人的眼睛故称眼图。 在图12-1中画出两个无噪声的波形和相应的“眼图”,一个无失真,另一个有失真(码间串扰)。 图12-1中可以看出,眼图是由虚线分段的接收码元波形叠加组成的。眼图中央的垂直线表示取样时刻。当波形没有失真时,眼图是一只“完全张开”的眼睛。在取样时刻,所有可能的取样值仅有两个:+1或-1。当波形有失真时,“眼睛”部分闭合,取样时刻信号取值就分布在小于+1或大于-1附近。这样,保证

正确判决所容许的噪声电平就减小了。换言之,在随机噪声的功率给定时,将使误码率增加。“眼睛”张开的大小就表明失真的严重程度。 为便于说明眼图和系统性能的关系,我们将它简化成图12-2的形状。 由此图可以看出:(1)最佳取样时刻应选择在眼睛张开最大的时刻;(2)眼睛闭合的速率,即眼图斜边的斜率,表示系统对定时误差灵敏的程度,斜边愈陡,对定位误差愈敏感; (3)在取样时刻上,阴影区的垂直宽度表示最大信号失真量; (4)在取样时刻上,上下两阴影区的间隔垂直距离之半是最小噪声容限,噪声瞬时值超过它就有可能发生错误判决;(5) 阴影区与横轴相交的区间表示零点位置变动范围,它对于从信号平均零点位置提取定时信息的解调器有重要影响。实验室理想状态下的眼图如图12-3 所示。 衡量眼图质量的几个重要参数有: 1.眼图开启度(U-2Δ U)/U 指在最佳抽样点处眼图幅度“张开”的程度。无畸变眼图的开启度应为100%。

信号完整性分析基础系列之一——眼图测量

信号完整性分析基础系列之一 ——关于眼图测量(上) 汪进进美国力科公司深圳代表处 内容提要:本文将从作者习惯的无厘头漫话风格起篇,从四个方面介绍了眼图测量的相关知识:一、串行数据的背景知识; 二、眼图的基本概念; 三、眼图测量方法; 四、力科示波器在眼图测量方面的特点和优势。全分为上、下两篇。上篇包括一、二部分。下篇包括三、四部分。 您知道吗?眼图的历史可以追溯到大约47年前。在力科于2002年发明基 于连续比特位的方法来测量眼图之前,1962年-2002的40年间,眼图的测量是基 于采样示波器的传统方法。 您相信吗?在长期的培训和技术支持工作中,我们发现很少有工程师能完整地准确地理解眼图的测量原理。很多工程师们往往满足于各种标准权威机构提供的测量向导,Step by Step,满足于用“万能”的Sigtest软件测量出来的眼图给出的Pass or Fail结论。这种对于Sigtest的迷恋甚至使有些工程师忘记了眼图是 可以作为一项重要的调试工具的。 在我2004年来力科面试前,我也从来没有听说过眼图。那天面试时,老板反复强调力科在眼图测量方面的优势,但我不知所云。之后我Google“眼图”, 看到网络上有限的几篇文章,但仍不知所云。刚刚我再次Google“眼图”,仍然 没有找到哪怕一篇文章讲透了眼图测量。 网络上搜到的关于眼图的文字,出现频率最多的如下,表达得似乎非常地专业,但却在拒绝我们的阅读兴趣。 “在实际数字互连系统中,完全消除码间串扰是十分困难的,而码间串扰 对误码率的影响目前尚无法找到数学上便于处理的统计规律,还不能进行准确计算。为了衡量基带传输系统的性能优劣,在实验室中,通常用示波器观察接收信号波形的方法来分析码间串扰和噪声对系统性能的影响,这就是眼图分析法。 如果将输入波形输入示波器的Y轴,并且当示波器的水平扫描周期和码元 定时同步时,适当调整相位,使波形的中心对准取样时刻,在示波器上显示的图形很象人的眼睛,因此被称为眼图(Eye Map)。 二进制信号传输时的眼图只有一只“眼睛”,当传输三元码时,会显示两 只“眼睛”。眼图是由各段码元波形叠加而成的,眼图中央的垂直线表示最佳抽样时刻,位于两峰值中间的水平线是判决门限电平。 在无码间串扰和噪声的理想情况下,波形无失真,每个码元将重叠在一起,最终在示波器上看到的是迹线又细又清晰的“眼睛”,“眼”开启得最大。当有码

眼图分析

清风醉明月 slp_art 随笔- 42 文章- 1 评论- 20 博客园首页新随笔联系管理订阅 眼图——概念与测量(摘记) 中文名称: 眼图 英文名称: eye diagram;eye pattern 定义: 示波器屏幕上所显示的数字通信符号,由许多波形部分重叠形成,其形状类似“眼”的图形。“眼”大表示系统传输特性好;“眼”小表示系统中存在符号间干扰。 一.概述 “在实际数字互连系统中,完全消除码间串扰是十分困难的,而码间串扰对误码率的影响目前尚无法找到数学上便于处理的统计规律,还不能进行准确计算。为了衡量基带传输系统的性能优劣,在实验室中,通常用示波器观察接收信号波形的方法来分析码间串扰和噪声对系统性能的影响,这就是眼图分析法。 在无码间串扰和噪声的理想情况下,波形无失真,每个码元将重叠在一起,最终在示波器上看到的是迹线又细又清晰的“眼睛”,“眼”开启得最大。当有码间串扰时,波形失真,码元不完全重合,眼图的迹线就会不清晰,引起“眼”部分闭合。若再加上噪声的影响,则使眼图的线条变得模糊,“眼”开启得小了,因此,“眼”张开的大小表示了失真的程度,反映了码间串扰的强弱。由此可知,眼图能直观地表明码间串扰和噪声的影响,可评价一个基带传输系统性能的优劣。另外也可以用此图形对接收滤波器的特性加以调整,以减小码间串扰和改善系统的传输性能。通常眼图可以用下图所示的图形来描述,由此图可以看出:

(1)眼图张开的宽度决定了接收波形可以不受串扰影响而抽样再生的时间间隔。显然,最佳抽样时刻应选在眼睛张开最大的时刻。 (2)眼图斜边的斜率,表示系统对定时抖动(或误差)的灵敏度,斜率越大,系统对定时抖动越敏感。 (3)眼图左(右)角阴影部分的水平宽度表示信号零点的变化范围,称为零点失真量,在许多接收设备中,定时信息是由信号零点位置来提取的,对于这种设备零点失真量很重要。 (4)在抽样时刻,阴影区的垂直宽度表示最大信号失真量。 (5)在抽样时刻上、下两阴影区间隔的一半是最小噪声容限,噪声瞬时值超过它就有可能发生错误判决。 (6)横轴对应判决门限电平。” 二、眼图的一些基本概念 —“什么是眼图?” “眼图就是象眼睛一样形状的图形。 图五眼图定义” 眼图是用余辉方式累积叠加显示采集到的串行信号的比特位的结果,叠加后的图形形状看起来和眼睛很像,故名眼图。眼图上通常显示的是1.25UI的时间窗口。眼睛的形状各种各样,眼图的形状也各种各样。通过眼图的形状特点可以快速地判断信号的质量。 图六的眼图有“双眼皮”,可判断出信号可能有串扰或预(去)加重。 图六“双眼皮”眼图

光纤通信系统的眼图测试实验

太原理工大学现代科技学院 光纤通信课程实验报告 专业班级 学号 姓名 指导教师

实验名称 光纤通信系统的眼图测试实验 同组人 专业班级 学号 姓名 成绩 实验三 光纤通信系统的眼图测试实验 一、实验目的 1、了解眼图的形成过程 2、掌握光纤通信系统中眼图的测试方法 二、实验内容 1、测量数字光纤通信系统传输各种数字信号的眼图 2、观察系统眼图,并通过眼图来分析系统的性能 三、实验仪器 1、ZY12OFCom13BG3型光纤通信原理实验箱 1台 2、20MHz 双踪模拟示波器 1台 3、万用表 1台 4、FC/PC-FC/PC 单模光跳线 1根 5、850nm 光发端机和光收端机(可选) 1套 6、ST/PC-ST/PC 多模光跳线(可选) 1根 四、实验原理 眼图是衡量数字光纤通信系统数据传输特性的简单而又有效的方法。眼图可以在时域中测 量,并且可以用示波器直观的显示出来。图20-1是测量眼图的系统框图。测量时,将“伪随机码发生器”输出的伪随机码加在被测数字光纤通信系统的输入端,该被测系统的输出端接至示波器的垂直输入,用位定时信号(由伪随机码发生器提供)作外同步,在示波器水平输入用 数据频率进行触发扫描。这样,在示波器的屏幕上就可以显示出被测系统的眼图。 图1、眼图测试系统框图 ……………………………………装………………………………………订…………………………………………线………………………………………

伪随机脉冲序列是由n 比特长,2n 种不同组合所构成的序列。例如,由n=2比特长的4种 不同有组合、n=3比特长的8种不同的组合、n=4比特长16种不同的组合组成,直到伪随机码发生器所规定的极限值为止,在产生这个极限值以后,数据序列就开始重复,但它用作为测试的数据信号,则具有随机性。如图20-2所示的眼图,是由3比特长8种组合码叠加而成,示 波器上显示的眼图就是这种叠加的结果。 分析眼图图形,可以知道被测系统的性能,下面用图20-3所示的形状规则的眼图进行分析: 1、当眼开度V V V ?-为最大时刻,则是对接收到的信号进行判决的最佳时刻,无码间干扰、 信号无畸变时的眼开度为100%。 2、由于码间干扰,信号畸变使眼开度减小,眼皮厚度V 增加,无畸变眼图的眼皮厚度应该等于零。 3、系统无畸变眼图交叉点发散角 b T T ?应该等于零。 4、系统信道的任何非线性都将使眼图出现不对称,无畸变眼图的正、负极性不对称度 5、系统的定时抖动(也称为边缘抖动或相位失真)是由光收端机的噪声和光纤中的脉冲 失真产生的,如果在“可对信号进行判决的时间间隔T b ”的正中对信号进行判决,那么在阈值电平处的失真量ΔT 就表示抖动的大小。因此,系统的定时抖动用下式计算:定时抖动= …………………………………装……………………………………订………………………………………线……………………………………………

抖动和眼图分析工具

抖动和眼图分析工具 DPOJET 主要特点和优点 - 时钟和数据信号的抖动和定时分析- 实时眼图(RT-Eye TM )分析*1 - TekWizard TM 界面,单键操作和引 导性抖动摘要 - 完善的标准支持库,全面执行通过/失败极限和模板测试; 外加用户极限和模板文件,支持自定义测试配置和新标准或开发中的标准- 可以选择抖动模型,准确分解抖动和估算TJ(BER)*2,支持流行标准;光纤通道或PCI-Express Delta-Delta (Dual-Dirac)和卷积结果- 9种曲线类型,查看和分析抖动:眼图, CDF浴盆, 频谱, 直方图, 趋势, 数据, 相噪和转函- 可编程软件时钟恢复,包括软件PLL *3- 用户可以选择黄金PLL,支持流行标准- 可以选择高通和低通测量滤波器- 可以选择高和低极限测量范围测试- 完善的统计登录、报告和远程自动控制- 捕获和保存最坏情况信号,进行详细分析 应用 检定高速串行总线和并行总线设计的性能 - 检定时钟和数据抖动和信号完整性- 检定PLL 动态性能 - 检定扩频时钟电路的调制性能- 检定抖动生成、转函和容限- 对PCI Express、Serial ATA、SAS、光纤通道、DisplayPort、DDR2、DDR3、FBD 及其它电气和光学系统执行物理层测试 *1 已获专利USPTO #6,836,738, *2 已获专利USPTO #6,832,172, #6,853,933, #7,254,168, *3 已获专利USPTO #6,812,688. 实时抖动和眼图分析 DPOJET 为实时示波器提供了优秀的眼图、抖动和定时分析软件。DPOJET在泰克DPO7000、DPO70000和DSA70000系列示波器中运行,为工程师提供了实时仪器中最高的灵敏度和精度。通过采用完善的抖动和眼图分析及分解算法,DPOJET在当前高速串行、数字和通信系统设计中简化了发现信号完整性问题和抖动及相关来源的工作。 随着处理器时钟速率超过3 GHz,背板总线和串行数据链路数据速率超过8 GT/s,计算机、半导体和通信行业中的模拟和 数字设计人员面临着许多新的挑战。日益提高的速率意味着电路容限或裕量下降,带来了抖动和相关的信号完整性问题。通过使用可以帮助您迅速检定和发现抖动和信号完整性问题来源的工具,您可以更快地向市场上推出新的设计,并树立更高的信心,相信它们能够在当前超高速环境中可靠地运行。更快地向市场上推出可靠性更高、性能更高的新产品,意味着贵公司可以有更多的机会改善余量。 AWG5000系列。

数字光纤通信系统信号眼图测试

实验二数字光纤通信系统信号眼图测试 一.实验目的 1.了解眼图产生的基础,根据眼图测量数字通信系统性能的原理; 2.学习通过数字示波器调试、观测眼图; 3.掌握判别眼图质量的指标; 4.熟练使用数字示波器和误码仪。 二.实验原理 眼图是估计数字传输系统性能的一种十分有效的实验方法。这种方法已广泛应用于数字通信系统,在光纤数字通信中也是评价系统性能的重要实验方法。眼图是在时域进行的用示波器显示二进制数字信号波形的失真效应的测量方法。图2.1是测量眼图的装置图。由AV5233C误码仪产生一定长度的伪随机二进制数据流(AMI码、HDB3码、RZ 码、NRZ码)调制单模光产生相应的伪随机数据光脉冲并通过光纤活动连接器注入单模光纤,经过光纤传输后,再与光接收机相接。光接收机将从光纤传输的光脉冲变为电脉冲,并输入到AV4451(500MHz)示波器,示波器显示的扫描图形与人眼相似,因此称为眼图。 用眼图法测量系统时应有多种字型,可以采用各比特位上0和1出现的概率相等的随机数字信号进行测试。AV5233C误码仪用来产生伪随机数字序列信号。在这里“伪随机”的意义是伪随机码型发生器产生N比特长度的随机二进制数字信号是数字序列在N 比特后发生重复,并不是测试时间内整个数字序列都是随机的,因此称为“伪随机”。伪随机序列如果由2比特位组成,则共有四种组合,3比特数字信号有8种组合,N比特数字信号有2N个组合。伪随机数字信号的长度为2N-1,这种选择可保证字型不与数据率相关。例如N可取7、10、15、23、31等。如果只考虑3比特非归零码,应有如图2.2所示的8种组合。将这8种组合同时叠加,就可形成如图2.3所示的眼图。 图2.1 眼图测量装置

眼图测量方法B

三、眼图测量方法 之前谈到,眼图测量方法有两种:2002年以前的传统眼图测量方法和2002年之后力科发明的现代眼图测量方法。传统眼图测量方法可以用两个英文关键词来表示:“Triggered Eye”和“Single‐Bit Eye”。现代眼图测量方法用另外两个英文关键词来表示:“Continuous‐Bit Eye”和“Single‐Shot Eye”。传统眼图测量方法用中文来理解是八个字:“同步触发+叠加显示”,现代眼图测量方法用中文来理解也是八个字:“同步切割+叠加显示”。两种方法的差别就四个字:传统的是用触发的方法,现代的是用切割的方法。“同步”是准确测量眼图的关键,传统方法和现代方法同步的方法是不一样的。“叠加显示”就是用模拟余辉的方法不断累积显示。 传统的眼图方法就是同步触发一次,然后叠加一次。每触发一次,眼图上增加了一个UI,每个UI的数据是相对于触发点排列的,因此是“Single‐Bit Eye”,每触发一次眼图上只增加了一个比特位。图一形象表示了这种方法形成眼图的过程。 图一传统眼图测量方法的原理 传统方法的第一个缺点就是效率太低。对于现在的高速信号如PCI‐Express Gen2,PCI‐SIG 要求测量1百万个UI的眼图,用传统方法就需要触发1百万次,这可能需要几个小时才能测量完。第二个缺点是,由于每次触发只能叠加一个UI,形成1百万个UI的眼图就需要触发1百万次,这样不断触发的过程中必然将示波器本身的触发抖动也引入到了眼图上。对于2.5GBbps以上的高速信号,这种触发抖动是不可忽略的。 如何同步触发,也就是说如何使每个UI的数据相对于触发点排列?也有两种方法,一种方法是在被测电路板上找到和串行数据同步的时钟,将此时钟引到示波器作为触发源,时钟的边沿作为触发的条件。另外一种方法是将被测的串行信号同时输入到示波器的输入通道和硬件时钟恢复电路(CDR)通道,硬件CDR恢复出串行数据里内嵌的时钟作为触发源。这种同

DDR 1&2&3 的“读”和“写”眼图分析

DDR 1&2&3的“读”和“写”眼图分析 安捷伦科技有限公司孙灯亮 【关键字】DDR,读眼图,写眼图,模板,示波器 【摘要】现在不论做主板设计或测试的工程师,还是做内存或DDR芯片设计或测试的工程师都会面临这样一个问题:如何能够分离出“读”和“写”眼图以发现有无信号品质问题?因为简单测试一段波形很难确定你的设计或产品是否满足规范要求。而因为DDR的数据总线信号存在三态,“读”时序和“写”时序不同的情况,我们很难直接用示波器把“读”眼图和“写”眼图分离出来。本文根据自己设计的DDR“读”“写”分离软件,介绍一种把“读”眼图和“写”眼图分离开的方法,并创新地引入模板测试的方法。 【Key Words】DDR,read eye diagram,write eye diagram,DDR mask,Oscilloscope 【Abstract】When designing or testing motherboard, DIMM or DDR DRAM, design or test engineer will meet a challenge that how to separate read eye diagram and write eye diagram from DDR data bus. It is difficult to find signal integrity issues if only acquire and analysis several waveforms. There are tri-state, read burst and write burst in DDR data bus, and the timing is different between read burst and write burst. So it is impossible to get clear eye diagram directly. This article introduces an innovated method to separate read eye diagram and write eye diagram, and introduces an innovated method about how to define mask for read eye diagram and write eye diagram. DDR 1&2&3总线概览 DDR全名为Double Data Rate SDRAM ,简称为DDR。现在DDR技术已经发展到了DDR 3,理论上速度可以支持到1600MT/s。DDR总线走线数量多,速度快,操作复杂,探测困难,给测试和分析带来了巨大的挑战。 DDR 本质上不需要提高时钟频率就能加倍提高SDRAM的速度,它允许在时钟的上升沿和下降沿读出数据,因而其速度是标准SDRAM的两倍。至于地址与控制信号则与传统SDRAM 相同,仍在时钟上升沿进行数据判断。 目前,许多计算机使用时钟频率为533MHz的DDR2内存,更先进的DDR2内存正在日益普及,它的时钟频率在400 MHz-800 MHz之间,新的DDR3内存的时钟频率则可以工作在800MHz-16OOMHz 之间。DDR3内存芯片还有另外一个长处:更低的能耗,它的运行电压是1.5伏,低于DDR2内存芯片的1.8伏和DDR1内存芯片的2.5伏。在使用电池的设备中能够延长电池续航时间,因为能耗低,产生的热量也就少,从而对冷却的要求也就低一些。 DDR 2&3几个新增特性的含义是:ODT( On Die Termination),DDR1 匹配放在主板上,DDR2&3把匹配直接设计到DRAM芯片内部,用来改善信号品质。OCD(Off Chip Driver)是加强上下拉驱动的控制功能,通过减小DQS与/DQS(DQS是数据Strobe,源同步时钟,数据的1和0由DQS作为时钟来判断) Skew(时滞)来增加信号的时序容限(Timing Margin)。Posted CAS是提高总线利用率的一种方法。AL(Additive Latency)技术是相对于外部CAS,内部CAS执行一定的延时。

眼图分析

眼图测试及其疑难问题探讨 关键词:DWDM,眼图,城域网,MAN 摘要:目前,在长途干线和城域网中,密集波分复用(DWDM)系统的应用越来越多,对DWDM 系统的光接口测试要求也越来越高,其中包括光发送信号的眼图测试。在实际进行眼图测试时,经常遇到不符合标准模板的情况,在不断实践中发现,其中大部分是因为测试方法不完善造成的误判断,只有小部分真正不符合ITU-T规范。文章介绍正确测试眼图的要点。 1、码间串扰的形成 1.1光纤线路码 在光纤数字传输中,一般不直接传输由电端机传送来的数字信号,而是经过码型变换,变换成适合在光纤数字传输系统中传输的光纤线路码(简称线路码)。 有多种线路码型,最常用的有mBnB分组码、插入比特码和简单扰码。在选择线路码时,不仅要考虑光纤的传输特性,还要考虑光电器件的特性。一般来说,由于光电器件都有一定的非线性,因此采用脉冲的“有”、“无”来表示“1”和“0”的二进制码要方便得多。但是简单的二进制信号有三个实际问题需要解决,否则无法取得良好效果。a)不能有长连“0”或长连“1”出现。因为长连“0”和长连“1”会使定时信息消失,给再生中继器和终端接收机的定时提取带来困难。b)简单的二进制码中含有直流成分,“0”、“1”码出现个数的随机变化会使直流成分的大小也随机变化。目前,在光接收机中普遍采用交流耦合,直流成分的变化会引起信号基线浮动,给判决再生带来困难。c)简单的二进制信号在业务状态下无法监测线路误码率。为此,在光纤传输之前,需将简单二进制信号变换成适合光纤传输系统的光纤线

路码型。CCITT最终采用简单扰码方式(如RZ、NRZ码),目前又有基于RZ码新的编码方式,如CS-RZ、DCS-RZ、CRZ、D-RZ、DPSK-RZ码等。 1.2线性网络的无失真传输条件 密集波分复用(DWDM)的工作原理是:发送端将不同波长的光信号通过光合波器合成一束光,送入光纤中进行传输;在接收端由光分波器将这些不同波长的光信号区分开来,再经过光电转换送入线路终端设备。这个过程既包括光通道也包括电通道。 对于光通道来说,主要是光纤的色散和非线性效应引起传输的光脉冲展宽,导致“0”、“1”判决出错,增加了传输误码率。通过运用色散补偿光纤、色散斜率补偿技术等色散管理来降低光纤的色散。对于光纤非线性效应,一般可通过降低入纤功率,采用新型大孔径光纤、喇曼放大、奇偶信道偏振复用等方法加以抑制。采用特殊的码型调制技术也可有效提高光脉冲抵抗非线性效应的能力,增加非线性受限传输距离,从而达到光通道的无失真传输这种理想化的状态。 对于电通道来说,实际传输中无法满足无失真传输条件,特别是由于信道频率特性不理想,使矩形脉冲在经过传输后有明显的上升时间和下降时间,会使波形有明显展宽。每个符号(码元)在时间上前后展宽会对其前后符号(码元)造成干扰,通常把这类干扰称为符号(或码元)间干扰,它会引起传输系统的误码率恶化。 1.3时域均衡 系统线性失真引起的符号间干扰是影响传输质量的主要因素。线性失真的主要原因是发送滤波器、接收滤波器及信道共同组成的波形形成系统的传递函数偏离理想状态。在不考虑噪声影响时,大多数高、中速数字数据传输设备的判决可靠性都建立在消除取样点的符号间干扰的基础上,按此要求建立的线性失真补偿系统称为时域均衡器,其原理是利用接收波形本身进行补偿,消除取样点的符号间干扰,提高判决的可靠性。 时域均衡系统结构如图1所示。 图1时域均衡系统结构

眼图形成及其基本知识归纳

1眼图基本概念 1.1 眼图的形成原理 眼图是一系列数字信号在示波器上累积而显示的图形,它包含了丰富的信息,从眼图上可以观察出码间串扰和噪声的影响,体现了数字信号整体的特征,从而估计系统优劣程度,因而眼图分析是高速互连系统信号完整性分析的核心。另外也可以用此图形对接收滤波器的特性加以调整,以减小码间串扰,改善系统的传输性能。 用一个示波器跨接在接收滤波器的输出端,然后调整示波器扫描周期,使示波器水平扫描周期与接收码元的周期同步,这时示波器屏幕上看到的图形就称为眼图。示波器一般测量的信号是一些位或某一段时间的波形,更多的反映的是细节信息,而眼图则反映的是链路上传输的所有数字信号的整体特征,如下图所示: 图示波器中的信号与眼图 如果示波器的整个显示屏幕宽度为100ns,则表示在示波器的有效频宽、取样率及记忆体配合下,得到了100ns下的波形资料。但是,对于一个系统而言,分析这么短的时间

内的信号并不具有代表性,例如信号在每一百万位元会出现一次突波(Spike),但在这100ns时间内,突波出现的机率很小,因此会错过某些重要的信息。如果要衡量整个系统的性能,这么短的时间内测量得到的数据显然是不够的。设想,如果可以以重复叠加的方式,将新的信号不断的加入显示屏幕中,但却仍然记录着前次的波形,只要累积时间够久,就可以形成眼图,从而可以了解到整个系统的性能,如串扰、噪声以及其他的一些参数,为整个系统性能的改善提供依据。 分析实际眼图,再结合理论,一个完整的眼图应该包含从“000”到“111”的所有状态组,且每一个状态组发生的次数要尽量一致,否则有些信息将无法呈现在屏幕上,八种状态形成的眼图如下所示: 图眼图形成示意图 由上述的理论分析,结合示波器实际眼图的生成原理,可以知道一般在示波器上观测到的眼图与理论分析得到的眼图大致接近(无串扰等影响),如下所示:

眼图有关最详细的知识讲解

眼图综述报告 -----------李洋 目录 1. 眼图的形成 (2) 1.1 传统的眼图生成方法 (2) 1.2 实时眼图生成方法 (3) 1.3 两种方法比较 (4) 2. 眼图的结构与参数介绍 (4) 2.1 眼图的结构图 (4) 2.2 眼图的主要参数 (5) 2.2.1 消光比 (5) 2.2.2 交叉点 (5) 2.2.3 Q因子 (6) 2.2.4 信号的上升时间、下降时间 (6) 2.2.5 峰—峰值抖动和均方根值抖动 (6) 2.2.6 信噪比 (6) 3. 眼图与系统性能的关系 (7) 4. 眼图与BER的关系 (7) 4. 如何获得张开的眼图 (8) 5. 阻抗匹配的相关知识 (9) 5.1 串联终端匹配 (9) 5.2 并联终端匹配 (10) 6. 眼图常见问题分析 (10) 7. 总结 (17)

1.眼图的形成 眼图是一系列数字信号在示波器上累积而显示的图形,其形状类似于眼睛,故叫眼图。 在用余辉示波器观察传输的数据信号时,使用被测系统的定时信号,通过示波器外触发或外同步对示波器的扫描进行控制,由于扫描周期此时恰为被测信号周期的整数倍,因此在示波器荧光屏上观察到的就是一个由多个随机符号波形共同形成的稳定图形。这种图形看起来象眼睛,称为数字信号的眼图。 示波器测量的一般信号是一些位或某一段时间的波形,更多的反映的是细节信息。而眼图则反映的是链路上传输的所有数字信号的整体特性。如下图: 1.1 传统的眼图生成方法 采样示波器的CLK通常可能是用户提供的时钟,恢复时钟,或者与数据信号本身同步的码同步信号.

图:采样示波器眼图形成原理 1.2 实时眼图生成方法 实时示波器通过一次触发完成所有数据的采样,不需附加的同步信号和触发信号.通常通过软件PLL方法恢复时钟。 图:实时示波器眼图形成原理 另一种示意图:

眼图观察测量实验

实验12 眼图观察测量实验 一、实验目得 1、学会观察眼图及其分析方法,调整传输滤波器特性。 二、实验仪器 1、眼图观察电路(底板右下侧) 2. 时钟与基带数据发生模块,位号:G 3. 噪声模块,位号E 4. 100M双踪示波器1台 三、实验原理 在整个通信系统中,通常利用眼图方法估计与改善(通过调整)传输系统性能。 我们知道,在实际得通信系统中,数字信号经过非理想得传输系统必定要产生畸变,也会引入噪声与干扰,也就就是说,总就是在不同程度上存在码间串扰。在码间串扰与噪声同时存在情况下,系统性能很难进行定量得分析,常常甚至得不到近似结果。为了便于评价实际系统得性能,常用观察眼图进行分析。 眼图可以直观地估价系统得码间干扰与噪声得影响,就是一种常用得测试手段。 什么就是眼图? 所谓“眼图”,就就是由解调后经过接收滤波器输出得基带信号,以码元时钟作为同步信号,基带信号一个或少数码元周期反复扫描在示波器屏幕上显示得波形称为眼图。干扰与失真所产生得传输畸变,可以在眼图上清楚地显示出来。因为对于二进制信号波形,它很像人得眼睛故称眼图。 在图12-1中画出两个无噪声得波形与相应得“眼图”,一个无失真,另一个有失真(码间串扰)。 图12-1中可以瞧出,眼图就是由虚线分段得接收码元波形叠加组成得。眼图中央得垂直线表示取样时刻。当波形没有失真时,眼图就是一只“完全张开”得眼睛。在取样时刻,所有可能得取样值仅有两个:+1或-1。当波形有失真时,“眼睛”部分闭合,取样时刻信号取值就分布在小于+1或大于-1附近。这样,保证正确判决所容许得噪声电平就减小了。换言之,在随机噪声得功率给定时,将使误码率增加。

基于system view的眼图仿真与分析

硬件与仿真课程设计报告题目:眼图的仿真与分析 系别信息工程学院 专业班级信工(通信)131 学生姓名郑浩 指导教师雷进辉 提交日期2016年6月12号

目录 1 设计目的 (2) 2 设计要求和设计指标 (2) 3设计内容 (3) 3.1数字基带系统的仿真原理 (3) 3.2基于system view数字基带传输系统仿真电路 (3) 3.3眼图的仿真结果与分析 (6) 4本设计改进建议 (8) 5总结 (8) 参考文献 (9)

1. 设计目的 将学生所学的通信方面的专业知识、专业技能及专业的通信系统软件开发工具System View,进行通信系统硬件方面的综合设计和运用。主要目的在于培养学生的动手和设计的能力,激发学生的学习兴趣,增强学生分析问题和解决问题的能力,为后续专业课的学习、毕业设计以及将来从事工作打下坚实的基础。 对于通信原理实验的课程设计给予学生两周时间的自由活动和老师无间隔指导,从软件安装、查找资料,到最后的设计仿真,都是自己在图书馆和网上独立完成,老师只会通过在0#806教室指点,必须需要自己的不断实践去完成这项设计,所以这两周综合来说对以后工作能力会有极大的提升,也因此我必须认真完成老师布置的设计,希望老师满意,也希望自己能够设计出自己满意的作品。 通过这次课程设计,我要更进一步的掌握了基带传输的相关仿真原理以及通过无失真传输条件和眼图来判断信号质量,自己能够通过实践独立思考和解决问题,我认为这将对我的动手能力有极大的提升。 2. 设计要求与设计指标 设计要求 (1)采用systemview仿真; (2)基本工作原理框图; (3)显示系统不同部分的信号波形(基带信号、加了噪声的信号、解调后的信号 等); (4)要求系统中加入高斯白噪声; (5)在实习报告中需要阐明所设计系统的原理并且对系统进行数学建模设计和 仿真,以及对系统进行完整的性能分析,最终提交完整的实习报告。实习报告要撰写规范,不能有错别字,同时条理清晰。 设计指标 (1)掌握systemview动态仿真软件的使用; (2)建立数字调制解调系统框图; (3)分析噪声对系统的性能影响。 3.设计内容

泰克DSA71604C示波器眼图测试V1.0

泰克DSA71604C示波器眼图测试 泰克DSA71604C示波器测试眼图目前有2种方法;一种是利用余辉来进行测试;第二种是利用示波器内置的DPO-JET 软件来进行测试,本文以第二种测试方法为主做个简单的介绍,以求抛砖引玉; 用示波器探头测试到信号,然后点击示波器面板上run/stop键停止测试,再点击示波器软件菜单File—>Save as—>Waveform,弹出Save as菜单,此时在Source这一栏选择刚才测试用的示波器探头所在的channel(这里我们示波器测试探头为CH1),然后在Save in:Oscilloscope Memory这一栏Ref1,Ref2,Ref3,Ref4中随便选择一个,在这里我们选择Ref3,然后点击Save; 然后关闭CH1,点击示波器软件菜单File—>Reference Waveform Controls,此时会在示波器屏幕底部出现一个Reference面板(figure 1),并且在Reference这一栏会自动选择刚才存储在示波器内存里的波形,但此时波形是关闭的,需要点击Display开关将OFF变成ON,这时示波器才会调出刚才保存在示波器内存里的波形,点击Reference 面板右侧的X关闭Reference面板; figure 1

接下来就开始启动DPO-JET软件,点击Analyze > Jitter and Eye Analysis > One Touch Jitter,DPO-JET会自动对选择的波形进行分析,并自动将分析结果转化为图形(figure 2) figure 2

此时可看到下列测试选项(figure 3),在select这一栏有Period/ Freq,jitter,time,eye,ampl这五个子项,每个 子项又包含了若干细的测试选项,比如现在可以在Period/Freq里面加入Freq测试项,然后点击Recalc 按钮重新对波形进行分析画图,现在就可以在result这一栏里看到测出的Freq值(figure 4),此时测出为5.3985GHz figure 3

相关文档
最新文档