数字计时电路设计

数字计时电路设计

摘要:数字计时电路的应用非常广泛,相比于传统的计时电路,它不仅走时准确,显示直观,而且不需要机械传动和频繁的调整。鉴于它的广泛应用和诸多优点,本人采用了74LS系列中小规模集成芯片和RS触发器的校时电路设计了一个数字计时电路,它以12小时为周期,可以显示小时,分钟和秒,并具有小时,分钟校对功能。本数字计时电路系统的整体设计是由振荡器,分频器,计数器,显示器和校时电路组成的,通过本论文设计,希望使其方法更系统,电路更简单。

关键词:数字计时电路;计数器;逻辑设计

目录

引言 (1)

1 设计内容、方案及要求 (1)

1.1 设计内容 (1)

1.2 设计方案 (1)

1.3 设计要求 (2)

2 主体电路各单元的工作原理与设计 (2)

2.1 振荡器 (2)

2.1.1 振荡器的工作原理 (2)

2.1.2 振荡器的设计 (3)

2.2 分频器 (3)

2.2.1 分频器的工作原理 (3)

2.2.2 分频器的设计 (4)

2.3 计数器 (4)

2.3.1 60进制计数器工作原理 (4)

2.3.2 12进制计数器工作原理 (4)

2.4 译码与显示电路 (6)

2.4.1 显示器的工作原理 (6)

2.4.2 译码器的工作原理 (7)

2.4.3 译码与显示电路的设计 (7)

2.5 校时电路 (7)

2.5.1 校时电路的工作原理 (7)

2.5.2 校时电路的设计 (8)

3 设计并绘制整机图 (9)

总结 (10)

致谢 (11)

参考文献 (11)

引言

从上个世纪末到现在,电子产品已经悄无声息地渗透到社会的各行各业。随着社会的快速发展,我们的生活节奏越来越快,电子产品的性能也越来越好、更新速度也越来越快,对社会生产力的提高、现代化和信息化社会的建立提供了持续的动力。一寸光阴一寸金,时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。如果遇到重要事情,一时的耽误甚至会酿成大祸。而数字计时器的出现则减少了这些灾难的出现,并给人们生产生活带来了极大的方便。当今社会,数字计时器的应用越来越广泛,从定时自动报警到时间程序的自动控制,再从定时启闭电路到定时电气的自动启用,甚至于各种通断动力设备的自动工作,所有这些,都是在计时电路数字化的基础上实现的。由此可见,掌握数字计时电路并对其作深入研究,不断地从各个方面扩大其应用,有着非常实际的意义,而这些都需要从最简单的数字计时电路做起。

另外,当代的大学生在日常的学习中,早已不再局限于对书本上知识的死记硬背,而是在传统教学模式的基础上再配以实践训练,培养自己的动手能力,学会自己解决问题的本领,以此来加强我们的实际操作能力。通过自己的努力,完成论文设计,把所学的知识系统地回顾一遍并享受其带给我们的乐趣。而且在设计过程中不断地发现并解决问题,对自己的逻辑思维能力提高也有很大帮助,对以后的应聘和就业也会有莫大的好处。

1 设计内容、方案及要求

1.1 设计内容

我们利用校时电路、显示器、分频器、振荡器以及计数器组成数字计时电路系统。另外,本数字计时电路系统中的校时电路系统还使用了74LS系列中的小规模集成芯片和RS触发器。

1.2 设计方案

如图(1)的逻辑框图所示,数字计时电路由六部分组成,分别为显示器、译码器、计数器、校时电路、振荡器、分频器。数字计时电路的时间基准经过分频器输出标准的秒脉冲,时间基准就是振荡器产生的稳定的高频脉冲信号。当秒计数器的数字达到60后则向分计数器进一位,同样的,当分计数器的数字达到60后则向小时计数器进一位,而小时计数器则按照“12翻1”规律计数,也就是说当小时计数器的数字达到12后则变为1重新循环计数。小时、分、秒计数器的输出信号分别经过各自的译码器进行译码后,再分别送往各自的显示器显示。有些时候,计时器的计时会出现一些

误差,这时候,则可以利用此系统中的校时电路分别对时、分进行校对。

图(1) 数字计时电路逻辑框图

1.3 设计要求

利用中小规模集成电路设计一个具有“时”、“分”、“秒”显示,而且还具备“小时”、“分”校对功能的数字计时电路,并画出框图和逻辑电路图。

2 主体电路各单元的工作原理与设计

2.1 振荡器

2.1.1 振荡器的工作原理

众所周知,振荡器的振荡频率准确,而且电路结构简单,频率也非常容易调整。正因为这些优点,它被广泛应用于彩电、计算机、遥控器等各类振荡电路中。

我们由晶体的压电效应可知,如果在晶体某一方向加上一个电场,那么晶体就会随之产生机械变形;反之,有了机械振动,又可以在其相应的垂直面上产生电场。利用晶体的这种效应,我们可以在晶体某一方向加一电场,从而可以在与此垂直的方向上等到机械振动,有了机械振动,又可以在其相应的垂直面上产生电场,使机械振动和电场互为因果循环。当这个过程一直持续到晶体的机械强度限制时,就会达到最后的稳定,而此时压电谐振的频率就是振荡器的固有频率。

2.1.2 振荡器的设计

图(2)所示为电子手表集成电路中的晶体振荡器电路,通常情况下我们取晶振的频率为32768Hz,因为在其内部有15级2分频集成电路,所以在输出端正好可以得到1Hz的标准脉冲。

数字计时电路的核心其实就是振荡器,数字计时电路的准确程度便由振荡器的稳定度以及频率的精确度决定,通常情况下,如果一个振荡器的频率越高,则它的计时精度就越高。因此在某些对精度要求较高的系统中,我们都选用由石英晶体构成的频率较高的振荡器,以保证计时的精确度。

但是,在本论文设计中,由于此数字计时电路系统对精度要求并不高,因此我们采用由集成电路定时器555与RC组成的多谐振荡器。我们设在这个系统里所选用555

f=1kHz。

构成的多谐振荡器的振荡频率为

此数字计时电路系统的具体电路图如图(3)所示:

图(2) 晶体振荡器图(3) 555振荡器

2.2 分频器

2.2.1 分频器的工作原理

由于振荡器产生的频率较高,因此我们需要用分频电路来要得到秒脉冲。例如,振荡器输出4MHz信号,那么通过D触发器(74LS74)进行4分频就会变成1MHz,

然后送到10分频计数器(74LS90,该计数器用8421码制),经过6次10分频而获得1Hz 方波信号作为秒脉冲信号。 2.2.2 分频器的设计

分频器的设计要求是产生标准秒脉冲信号,所以为实现上述功能,在本数字计时电路系统中设计选用3片中规模集成电路计数器74LS90。因每片为1/10分频,3片级联即可获得所需要的频率信号,也就是说第1片的0Q 端输出频率为500Hz ,第2片的3Q 端输出为10Hz ,第3片的3Q 端输出为1Hz 。 2.3 计数器

本设计用到的计数器有60进制计数器和12进制计数器。 2.3.1 60进制计数器工作原理

74LS90计数器是可二/五分频十进制异步计数器,利用反馈归零方法便可以实现十进制计数。而74LS92是十二分频计数器,有复位输入。

在设计 “秒”计数器电路与“分”计数器电路时,由于它们都是60进制,那么我们用一级10进制计数器和一级6进制计数器连接便可构成,其记数规律为:00—01—02—…—58—59—00… 也就是说,在本数字计时电路系统中我们选择74LS92作为十位计数器,而选择74LS90作为个位计数器,如图(4)将它们连接组成计数器,其模数M=60。

图(4) “60”进制计数器的电路

2.3.2 12进制计数器工作原理

“12翻1”计数器是按照“01—02—03—…—11—12—01—02…”规律计数的。计数器的状态转换表如表(1)所示。

表(1) “12翻1”小时计数时序

CP

十位

个位

10Q

03Q 02Q 01Q 00Q 0 0 0 0 0 0 1 0 0 0 0 1 2 0 0 0 1 0 3 0 0 0 1 1 4 0 0 1 0 0 5 0 0 1 0 1 6 0 0 1 1 0 7 0 0 1 1 1 8 0 1 0 0 0 9 0 1 0 0 1 0 1 0 1 0 10 1 0 0 0 0 11 1 0 0 0 1 12 1 0 0 1 0 13 0

1

由表2.1可知,计数器的状态要发生两次跳跃:第一次跳跃是当计数器的计数达到9时,也就是个位计数器的状态为100100010203=Q Q Q Q 后,在下一计数脉冲作用下计数器进入暂态1010,利用暂态的两个1也就是0103Q Q 使个位异步置0,同时向十位计数器进一位使10Q =1;第二次跳跃是当计数器的计数达到12时,也就是在第13个计数脉冲作用下个位计数器的状态应为000100010203=Q Q Q Q ,这时十位计数器的10Q =0。

第二次跳跃的十位清“0”和个位置“1”信号可由暂态为“1”的输出端000110,,Q Q Q 来产生。由以上的分析我们可以得到74LS74的控制方程式,如下所示:

置数端 (1)

加/减控制端

(2)

D 触发器74LS74的清“0”端 (3)

其中,式(1)的作用是完成个位计数器的第一次置“0”;式(2)的作用是在计数器的计数达到到12时改变74LS191的加/减控制模式,使其由原来的加法计数转变为减法计数,当第13个脉冲来到时,个位计数器减1;式(3)使十位计数器清“0”,使计数器的状态变为10Q =0,000100010203 Q Q Q Q 。由以上的设计我们可以得到“12翻1”小时计数器的逻辑图,如图(5)所示。

图(5) “12翻1”小时计数器的电路

2.4 译码与显示电路 2.4.1 显示器的工作原理

数码管即为数码显示器,它是我们对数码显示器的俗称。半导体数码管是我们常用的一种数码显示器,除此之外,我们常用的还有荧光数码管和液晶显示器等。本数字计时电路系统中所选用的数码管就是半导体数码管,而数字的显示则是用是用发光二极管,也就是我们平常所说的LED 组成的字形来完成的。利用七个条形发光二极管排列成七段组合字形,便构成了半导体数码管。我们所熟知的半导体数码管主要有两种类型,分别为共阳极和共阴极。其中,共阳极数码管的七个发光二极管的阳极接在一起,而七个阴极则是相互独立的。与共阳极数码管阴阳极的连接相反,共阴极数码管则是把七个发光二极管的阴极接在一起,而阳极是相互独立的。

当共阳极数码管的某一阴极接低电平时,与它相对应的二极管就会发光。由此可知,我们可以根据字形的需要使其中的一段或者几段二极管发光。因此,共阳极数码管需要输出低电平有效的译码器去驱动,而共阴极数码管则需输出高电平有效的译码器去驱动。

2.4.2 译码器的工作原理

译码器其实就是实现译码的逻辑电路,译码的过程其实就是把给定的代码进行翻译的过程。因为译码器的输出代码与输入代码有着唯一的对应关系,所以在这个过程中,译码器可以将编码时所赋予的代码的含义再给“翻译”过来。74LS48在本数字计时电路系统中与数码管相互配合使用,它是输出低电平有效的七段字形译码器。

2.4.3 译码与显示电路的设计

译码的过程其实就是把给定的代码进行翻译的过程,因为译码器的输出代码与输入代码有着唯一的对应关系,所以在这个过程中,译码器可以将编码时所赋予的代码的含义再给“翻译”过来。具体的说,在本数字计时电路系统中,我们将显示器与译码器相互配套使用,其实也就是将时计数器、分计数器、秒计数器输出的四位二进制数代码翻译为相应的十进制数,然后再分别通过各自显示器去显示出来。在这里,我们所选用的七段译码驱动器和数码管(即我们平常所说的LED)是共阳极接法,它需要输出低电平有效的译码器驱动。如图(6)所示即为译码显示电路。

图(6) 显示电路

2.5 校时电路

2.5.1 校时电路的工作原理

我们所设计的数字计时电路系统应该分别具有分校正功能和时校正功能,因此,在设计的时候,我们需要采用正常计时信号与校正信号可以随时切换的电路接入到本数字计时电路系统中,也就是说采用COMS与或非门去实现小时校时电路和分校时电路。具体的来说就是把In1端与低位的进位信号相连,而把In2端与校正信号相连,

输出端则分别与分个位的计时输入端和时个位的计时输入端相连。分频器所产生的信号便可直接作为校正信号,其信号的频率不可太高也不可太低,应为1Hz或2Hz。

图(7) 校时电路

如图(7)当我们把本数字计时电路系统中校时电路的开关S1、S2向下打时,我们在校正信号和0相与得到的输出为0,而开关S1、S2的另一端却因为接通了高电平,使得正常输入信号可以非常顺利地通过与或门,这个时候,本数字计时电路系统中的校时电路便处于正常的计时状态;反之,当我们把校时电路的开关S1、S2向上打时,情况则恰恰与上面所说的相反,这个时侯,本数字计时电路系统的校时电路便不能正常的计时,处于校时的状态。

2.5.2 校时电路的设计

因为刚接通电源或者其它各种原因会使得使得本数字计时电路系统的计时出现或大或小的误差,这时候,则需要利用此系统中的校时电路分别对时、分进行校对。因此,校时功能是本数字计时电路系统所必须具备的。

我们对于校时电路的要求是,在某一校对系统进行校对工作时,不能对其他系统的正常工作产生影响。我们平常所用的校时方式主要有“快校时”和“慢校时”两种,其中“快校时”是指通过对开关的控制,使的计数器对1Hz的校时脉冲计数,而“慢校时”

则是指通过手动产生的单脉冲作为校时脉冲。如图(7)所示,分别为校时电路和校分电路,其中,分校对所使用的控制开关为S1,而时校对所使用的控制开关为S2。校对时所使用的脉冲采用分频器输出的1Hz脉冲,当S1或S2为“0”时则可以进行“快校时”。而如果校对时所使用的脉冲由单次脉冲产生器提供,则可以进行“慢校时”。

3 设计并绘制整机图

图(8) 数字计时电路整机图

在前面设计好的单元电路以及图(1)所示的框图的基础上,我们便可以可以设计出整机的电路图。

把555振荡器产生的标准信号送入分频器,分频器将时基信号分频为每秒一次的方波作为秒信号送入计数器进行计数,并把得到的累计结果分别通过时显示器、分显示器、秒显示器以“时”、“分”、“秒”的数字显示出来。具体的,在本数字计时电路系

统中,利用两级计数器和译码器组成的60进制计数器可分别实现对“秒”和“分”的显示,而利用两级计数器和译码器组成的12进制计数电路则可实现对“时”的显示。

有些时候,计时器的计时会出现一些误差,这时候,则可以利用此系统中的校时电路分别对时、分进行校对。校时电路可通过两只功能键进行操作,即工作状态选择键S1和校时键S2配合操作完成计时和校时功能。当按动S1键时,系统可依次选择计时、校时、校分、校秒这四种工作状态。连续按动S1键时,系统则按上述顺序循环选择(通过顺序脉冲发生器实现)。若希望此系统以2Hz的速率分别实现各种校准,只需要在系统处于上述后三种状态时(即系统处于校时状态下),再次按下S2键即可实现。各种校准必须互不影响,即在校时状态下,各计时器间的进位信号是不允许传送的。当时间校对完毕时,释放S2键,校时功能就会停止,再按动S1键,系统就会返回计时状态重新开始计时。

此数字计时电路系统的整机图见图(8)。

总结

从上个世纪末到现在,电子产品已经悄无声息地渗透到社会的各行各业。随着社会的快速发展,我们的生活节奏越来越快,电子产品的性能也越来越好、更新速度也越来越快,对社会生产力的提高、现代化和信息化社会的建立提供了持续的动力并给人们生产生活带来了极大的方便。当今社会,数字计时器的应用越来越广泛。由此可见,掌握数字计时电路并对其作深入研究,不断地从各个方面扩大其应用,有着非常实际的意义。基于数字计时电路系统的重要性,本次设计选取其作为设计课题,并对其电路系统进行了初步的设计。数字计时电路使用灵活,应用广泛,具有使用安全、性能可靠、价格低廉、适用性强等特点。通过本次对数字计时电路系统的设计,我感觉我在以下几个方面都有很大的进步:首先,我在资料搜集方面得到一定的提高。任何一种高水平的工程设计,都必须有丰富的科学书目作为必要的参考资料,这次设计中通过英文翻译,使我在专业英语能力方面有了进一步的提高。其次,通过所学理论知识解决实际问题的能力有了一定的提高,专业知识也有得到巩固。通过这次毕业设计,我从分析实际工作的过程中,学会怎样利用理论知识去解决实际的问题。

另外,当代的大学生在日常的学习中,早已不再局限于对书本上知识的死记硬背,而是在传统教学模式的基础上再配以实践训练,培养自己的动手能力,学会自己解决问题的本领,以此来加强我们的实际操作能力。通过自己的努力,完成论文设计,把我所学的知识系统地回顾一遍并享受其带给我们的乐趣。而且在设计过程中不断地发

现并解决问题,对我自己的逻辑思维能力提高也有很大帮助,对我以后的应聘和就业也会有莫大的好处。

致谢

此次毕业设计能够完成,我首先要感谢我的论文老师。在本设计进行的自始至终,都得到了张丽老师的耐心细致的指导,在张老师的辛勤指导下,使我能按时保质的完成设计任务。其次我还要由衷的感谢我的父母对我的支持,他们用自己的方式给了我莫大的鼓励,父母教会了我人生的真谛。最后我还要感谢我的舍友们,不仅在毕业设计过程中给我帮助,而且在这四年的大学生活中也给了我很大的帮助。我感谢他们!参考文献

[1] 毕满清.电子技术实验与课程设计[M].北京:机械工业出社,1998.

[2] 王振红,张常年. 综合电子设计与实践[M].北京:清华大学出版社,2000.

[3] 阎石.数字电子技术基础[M].北京:高等教育出版社,2002.

[4] 彭介华.电子技术课程设计指导[M].北京:高等教育出版社,1999.

[5] 张友汉.电子技术实验与实训[M].北京:高等教育出版社,2001.

[6] 康华光.电子技术基础[M].北京:高等教育出版社,2000.

[7] 郑家龙.集成电子技术基础教程[M].北京:高等教育出版社,2002.

[8] 路尔红.专用集成电路设计与电子设计自动化[M].北京:清华大学出版社,2004.

[9] Thomas L. Floyd. Digital Fundamentals. 7th ed[M]. Beijing:Science Press and Pearson Education North Asia Limited, 2002.

[10] John F. Wakerly. Digital Design - Principles & Practices. 3rd ed[M]. Beijing:Higher Education Press and Pearson Education North Asia Limited, 2001.

[11] M. Morris Mano. Digital Design.3rd ed[M]. Beijing:Pearson Education North Asia Limited and Higher Education Press, 2002.

The Design of the Digital Timing Circuit

Abstract:The application of digital timing circuit is extremely widespread, compared to the traditional timing circuit ,it goes on accurately, demonstrates intuitively, does not need mechanical driving and frequent human's adjustment. It is widely used in large-scale and long-distance electronic clock for demonstrating at the public place such as the station, the wharf, the square and so on. This design has used the small scale integration chip of the

74LS series and the RS trigger timing electric circuit. This paper introduces one kind of logical design plans of digital timing circuit. According to this plan, it designs a digital timing circuit which takes 12 hours as a cycle, May demonstrate hours, minutes, and seconds, and has the time-correcting function .The overall design is composed of the oscillator, the frequency divider, the counter, the display and the time-correcting circuit, in order to make it more systematic in methodology and simpler in the design of circuit.

Key words:Digital timing circuit; Counter; Logical design

数字电子钟电子线路综合设计方案

数字电子钟电子线路综 合设计方案 1 系统概述 1.1 设计任务和目的 此次设计为一种多功能数字钟,该数字钟具有的基本功能包括能准确计时,以数字形式显示时、分、秒、可实现手动或自动的对时、分进行校正以及具有整点报时功能。通过对本次设计制作的实践,我们可以更好的掌握课本内的理论知识,以理论结合实际,应用知识解决日常生活的问题。 1.2 系统设计思路与总体方案 一个简单的数字钟由秒信号发生电路,时、分、秒计数电路,译码显示电路组成,要求有校正时、分和整点报时功能,故要加入校时电路和报时电路。因此其原理可由如下的框图表示出来。 显示电路 时计数器分 计 数 器 秒 计 数 器 校时电路报时电路振 荡 电 路 分 频 电 路

图1 数字钟总体结构图 1.3 设计方案选择 对于数字钟电路的设计方案将有不同的几种设计可以实现,其不同的方案有着不同的元器件,主要设计方案如下: 方案一:采用逻辑电路设计实现 时、分、秒计时功能和整点报时功能,以及校时功能都能通过芯片实现,电路通过计数时钟脉冲具有自动更新秒的显示,纯属硬件设计无需程序干预。 方案二:利用单片机编程实现 通过利用单片机内部定时计数器实现计时,软件设置I/O作为数码管或液晶显示信号输出,时间校准按键输入。软件实现的电子钟具有编程灵活,并便于功能的扩展。 综合比较上述各方案,考虑实验室所能提供的元器件级设备,以现在的知识水平,决定采用方案一设计逻辑电路作为最终选择方案。 1.4 总体工作过程 1.4.1 时间的前进和显示的实现 首先由秒信号产生电路生产秒信号,将此信号接到秒计数器的信号输入端。接着,在这个秒信号的驱动下,秒计数器向分计数器进位,分计数器向时计数器进位,最后通过译码器将计数器中的状态以时间的形式显示出来,这样就实现时间的前进和显示功能。 1.4.2 整点报时的实现 在时、分计数器的输出端接收整点的信号,驱动蜂鸣器的频率信号,在将此信号通过功率放大电路进行放大,从而使蜂鸣器工作。 1.4.3 校正时、分的实现 在秒向分进位的路径中加入一条用手动产生信号的路径,并通过数据选择器来选择接通两条中的手动信号,从而实现对分的校正。同理,对时的校正的方法与此相同。1.5 各功能块的划分和组成 1.5.1 秒信号产生电路 方案一:采用555定时器组成的振荡器,产生1kHz后做千分频输出1Hz时钟。 由于555是利用电容的充放电产生矩形波时间误差较大,需通过产生高频繁波形从而减少误差,但这样就必须另外加分步电路做分频,这样精度不是很高且增加了制作的

多功能数字钟电路设计

多功能数字钟电路设计 1设计内容简介 数字钟是一个简单的时序组合逻辑电路,数字钟的电路系统主要包括时间显示,脉冲产生,报时,闹钟四部分。脉冲产生部分包括振荡器、分频器;时间显示部分包括计数器、译码器、显示器;报时和闹钟部分主要由门电路构成,用来驱动蜂鸣器。 2设计任务与要求 Ⅰ以十进制数字形式显示时、分、秒的时间。 Ⅱ小时计数器的计时要求为“24翻1”,分钟和秒的时间要求为60进位。 Ⅲ能实现手动快速校时、校分; Ⅳ具有整点报时功能,报时声响为四低一高,最后一响为整点。 Ⅴ具有定制控制(定小时)的闹钟功能。 Ⅵ画出完整的电路原理图 3主要集成电路器件 计数器74LS162六只;74LS90三只;CD4511六只;CD4060六只;三极管74LS191一只;555定时器1只;七段式数码显示器六只,74LS00 若干;74LS03(OC) 若干;74LS20 若干;电阻若干,等 4设计方案 数字电子钟的原理方框图如图(1)所示。该电路由秒信号发生器、“时,分,秒”计数器、译码器及显示器、校时电路、整点报时电路、闹钟定时等电路组成。秒信号产生器决定了整个计时系统的精度,故用石英晶体振荡器加分频器来实现。将秒信号送入“秒计时器”,“秒计时器”采用六十进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用六十进制计数器,每60分钟,发出一个“时脉冲”,该信号经被送到“时计数器”作为“时计数器”的时钟脉冲,而“时计数器”采用二十四进制计数器,实现“24翻1”的计数方式,可实现对一天二十四小时的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态通过七段式显示译码器译码,通过刘伟LED 七段显示器显示出来。整点报时电路是根据计时系统的输出状态产生一脉冲信号,然后触发一音频发生器实现整点报时,定时电路与此类似。校时电路是用“时”、“分”、“秒”显示数

数字时钟电路

概述:加入世贸组织以后,中国会面临激烈的竞争。这种竞争将是一场科技实力、管理水 平和人才素质的较量,风险和机遇共存。于是老师在单片机理论课程学习的基础上,为我们安排了一个涉及MCS—51单片机多种资源应用及具有综合功能的电子时钟设计。 1引言 《单片原理及应用》是一门技术性、应用性很强的学科,实践教学是它的一个极为重要的环节。不论是硬件扩展、接口应用还是编程方法、程序调试,都离不开实验教学。如果不在切实认真地抓好学生的实践技能的锻炼上下功夫,单凭课堂理论课学习,势必出现理论与实践脱节的局面。任随书本上把单片机技术介绍得多么重要、多么实用多么好用,同学们仍然会感到那只是空中楼阁,离自己十分遥远,或者会感到对它失去兴趣,或者会感到它高深莫测无从下手,这些情况都会令课堂教学的效果大打折扣。 本次仿真设计的目的就是让同学们在理论学习的基础上,通过完成一个涉及MCS—51单片机都种资源应用并具有综合功能的小系统目标板的设计与编程应用,使学生不但能够将课堂上学到的理论知识与实际应用结合起来,而且能够对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排版调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高,为今后能够独立进行某些单片机应用系统的开发设计工作打下一定的基础。 该电子时钟不但具有定时作用还有温度采集作用。定时部分可以显示时、分、秒,而且用按键还可以实现时间的调整和闹铃的设定。温度采集部分实现环境温度数据的采集。 在上一学期进行的EDA课程设计中,同学们完成了单片机数据采集与定时系统的硬件电路设计。本次综合实践是在此基础上,焊接制作电路板,完成该系统的软件设计与调试。待仿真成功后,再将程序烧写入单片机中。 一、设计目的: 1、熟悉集成电路的引脚安排。 2、掌握各芯片的逻辑功能及使用方法。 3、了解数字钟的组成及工作原理。 4、熟悉数字钟的设计与制作。 二、设计要求: 1、时间以24小时为一个周期。 2、显示时、分、秒。 3、有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间。 4、为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。 5、做完电路原理图的设计、印刷电路板的设计到3D图的全过程。

24秒倒计时器的设计和制作(停在00)

24秒倒计时器的设计和制作 一、计时器概述 1、计时器的特点及应用 24秒倒计时。24秒计数芯片的置数端清零端共用一个开关,比赛开始后,24秒的置数端无效,24秒的倒数计时器的倒数计时器开始进行倒计时,逐秒倒计到零。选取“00”这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲在与门中将时钟截断,使计时器在计数到零时停住。 2、设计任务及要求 1、用小规模集成电路设计24秒倒计时电路; 2、用555定时器产生1Hz的标准脉冲信号; 3、当计时器显示00,同时报警; 4、计时器应具有清零、启动、暂停/继续计时等控制功能。 二、电路设计原理及单元模块 1、设计原理 24秒计时器的总体参考方案框图如图1所示。它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路)等五个模块组成。 图1—方案框图

其中计数器和控制电路是系统的主要模块。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。 秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不太高,故电路可采用555集成电路或由TTL与非门组成的多谐振荡器构成。 译码显示电路由74LS48和共阴极七段LED显示器组成。报警电路在实验中可用发光二极管和鸣蜂器代替。 2、设计方案 此计时器的设计采用模块化结构,主要由以下3个组成,即计时模块、控制模块、以及译码显示模块。在设计此计时器时,采用模块化的设计思想,使设计起来更加简单、方便、快捷。此电路是一时钟产生,触发,倒计时计数,译码显示、报警为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。 3、单元模块 3.1各个元器件功能 3.1.1、555定时器 555 定时器的内部电路框图如图3-1-1所示。 图3-1-1 555内部电路框

数字电子钟逻辑电路设计

数字电子钟逻辑电路设计一、简述 数字电子钟是一种用数字显示秒、分、时、日的计时装置,与传统的机械钟相比,它具有走时准确,显示直观、无机械传动装置等优点,因而得到了广泛的应用;小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟; 数字电子钟的电路组成方框图如图所示; 图数字电子 钟框图 由图可见,数字电子钟由以下几部分组成:石英晶体振荡器和分频器组成的秒脉冲发生器;校时电路;六十进制秒、分计数器,二十四进制或十二进制计时计数器;秒、分、时的译码显示部分等; 二、设计任务和要求 用中、小规模集成电路设计一台能显示日、时、分、秒的数字电子钟,要求如下: 1.由晶振电路产生1Hz标准秒信号; 2.秒、分为00~59六十进制计数器; 3. 时为00~23二十四进制计数器; 4. 周显示从1~日为七进制计数器; 5. 可手动校时:能分别进行秒、分、时、日的校时;只要将开关置于手动位置,可分别对秒、分、 时、日进行手动脉冲输入调整或连续脉冲输入的校正; 6. 整点报时;整点报时电路要求在每个整点前呜叫五次低音500Hz,整点时再呜叫一次高音1000Hz; 三、可选用器材

1. 通用实验底板 2. 直流稳压电源 3. 集成电路:CD4060、74LS74、74LS161、74LS248及门电路 4. 晶振:32768 Hz 5. 电容:100μF/16V 、22pF 、3~22pF 之间 6. 电阻:200Ω、10K Ω、22M Ω 7. 电位器:Ω或Ω 8. 数显:共阴显示器LC5011-11 9. 开关:单次按键 10. 三极管:8050 11. 喇叭:1 W /4,8Ω 四、设计方案提示 根据设计任务和要求,对照数字电子钟的框图,可以分以下几部分进行模块化设计; 1. 秒脉冲发生器 脉冲发生器是数字钟的核心部分,它的精度和稳定度决定了数字钟的质量,通常用晶体振荡器发出的脉冲经过整形、分频获得1Hz 的秒脉冲;如晶振为32768 Hz,通过15次二分频后可获得1Hz 的脉冲输出,电路图如图所示; 74LS74 1Hz 图 秒脉冲发生器 2. 计数译码显示 秒、分、时、日分别为60、60、24、7进制计数器、秒、分均为60进制,即显示00~59,它们的个位为十进制,十位为六进制;时为二十四进制计数器,显示为00~23,个位仍为十进制,而十位为三进制,但当十进位计到2,而个位计到4时清零,就为二十四进制了; 周为七进制数,按人们一般的概念一周的显示日期“日、1、2、3、4、5、6”,所以我们设计这个七进制计数器,应根据译码显示器的状态表来进行,如表所示; 按表状态表不难设计出“日”计数器的电路日用数字8代替;

数字电路课程设计-数字式定时开关设计

数字电路课程设计-数字式定时开关设计 本设计旨在设计一个数字式定时开关,即可设置时间后自动控制开关的开/关状态。该设计采用120V AC电源。整个系统的核心是AT89C51微控制器。在控制电路中,用户可以设置开关的启动时间和关闭时间。在此设计中,我们使用了倒计时计数器,可以使开关在设定时间到达时自动关闭或打开。以下是数字电路课程设计-数字式定时开关设计的详细说明: 材料清单: 1. AT89C51微控制器 2. 16位数码管显示模块 3. 蜂鸣器 4. LED灯 5. 继电器 6. 按钮开关 7. 电源电线 8. 杜邦线 9. 电阻和电容 电路设计: 图-1:数字式定时开关电路图 如上图所示,整个电路由AT89C51微控制器,计数器,16位数码管,继电器,蜂鸣器,LED灯和按钮开关组成。整个电路的供电电压为120V AC。

MCU输入为120V交流电源电压,为保证MCU安全,采用了稳流二极管电路降压至5V,在MCU和计数器外部电路中采 用了电阻器和电容器滤波处理。 在该电路中,16位数码管用于显示倒数计时器的时间。数码 管显示模块使用计时寄存器来设置显示时间和更改时间。倒计时计数器由74LS192芯片实现。继电器用于控制电源的开关。按键用于启动和停止计数器以触发继电器开关的动作。 操作: 1. 设置时间:按下时间设置按钮,数码管显示时间设置,你可以更改时间,包括小时和分钟,用按键切换需要更改的位。设置完成后,按时间设置按钮再次退出时间设置模式。 2. 开始计时:按下开始/停止按钮,计时器开始倒计时,同时 继电器也开始工作。 3. 关闭计时器:当计时器到达指定时间后,它将停止计数并触发继电器打开/关闭开关。此时,LED灯将发出信号。 总结: 数字式定时开关是一种非常实用的电路设计,它可以自动打开/关闭设备,而无需实时操作。此设计通过采用AT89C51微控 制器和倒计时计数器等组件,实现了大量自动控制电路的功能。

数字计时电路设计

数字计时电路设计 摘要:数字计时电路的应用非常广泛,相比于传统的计时电路,它不仅走时准确,显示直观,而且不需要机械传动和频繁的调整。鉴于它的广泛应用和诸多优点,本人采用了74LS系列中小规模集成芯片和RS触发器的校时电路设计了一个数字计时电路,它以12小时为周期,可以显示小时,分钟和秒,并具有小时,分钟校对功能。本数字计时电路系统的整体设计是由振荡器,分频器,计数器,显示器和校时电路组成的,通过本论文设计,希望使其方法更系统,电路更简单。 关键词:数字计时电路;计数器;逻辑设计

目录 引言 (1) 1 设计内容、方案及要求 (1) 1.1 设计内容 (1) 1.2 设计方案 (1) 1.3 设计要求 (2) 2 主体电路各单元的工作原理与设计 (2) 2.1 振荡器 (2) 2.1.1 振荡器的工作原理 (2) 2.1.2 振荡器的设计 (3) 2.2 分频器 (3) 2.2.1 分频器的工作原理 (3) 2.2.2 分频器的设计 (4) 2.3 计数器 (4) 2.3.1 60进制计数器工作原理 (4) 2.3.2 12进制计数器工作原理 (4) 2.4 译码与显示电路 (6) 2.4.1 显示器的工作原理 (6) 2.4.2 译码器的工作原理 (7) 2.4.3 译码与显示电路的设计 (7) 2.5 校时电路 (7) 2.5.1 校时电路的工作原理 (7) 2.5.2 校时电路的设计 (8) 3 设计并绘制整机图 (9) 总结 (10) 致谢 (11) 参考文献 (11)

引言 从上个世纪末到现在,电子产品已经悄无声息地渗透到社会的各行各业。随着社会的快速发展,我们的生活节奏越来越快,电子产品的性能也越来越好、更新速度也越来越快,对社会生产力的提高、现代化和信息化社会的建立提供了持续的动力。一寸光阴一寸金,时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。如果遇到重要事情,一时的耽误甚至会酿成大祸。而数字计时器的出现则减少了这些灾难的出现,并给人们生产生活带来了极大的方便。当今社会,数字计时器的应用越来越广泛,从定时自动报警到时间程序的自动控制,再从定时启闭电路到定时电气的自动启用,甚至于各种通断动力设备的自动工作,所有这些,都是在计时电路数字化的基础上实现的。由此可见,掌握数字计时电路并对其作深入研究,不断地从各个方面扩大其应用,有着非常实际的意义,而这些都需要从最简单的数字计时电路做起。 另外,当代的大学生在日常的学习中,早已不再局限于对书本上知识的死记硬背,而是在传统教学模式的基础上再配以实践训练,培养自己的动手能力,学会自己解决问题的本领,以此来加强我们的实际操作能力。通过自己的努力,完成论文设计,把所学的知识系统地回顾一遍并享受其带给我们的乐趣。而且在设计过程中不断地发现并解决问题,对自己的逻辑思维能力提高也有很大帮助,对以后的应聘和就业也会有莫大的好处。 1 设计内容、方案及要求 1.1 设计内容 我们利用校时电路、显示器、分频器、振荡器以及计数器组成数字计时电路系统。另外,本数字计时电路系统中的校时电路系统还使用了74LS系列中的小规模集成芯片和RS触发器。 1.2 设计方案 如图(1)的逻辑框图所示,数字计时电路由六部分组成,分别为显示器、译码器、计数器、校时电路、振荡器、分频器。数字计时电路的时间基准经过分频器输出标准的秒脉冲,时间基准就是振荡器产生的稳定的高频脉冲信号。当秒计数器的数字达到60后则向分计数器进一位,同样的,当分计数器的数字达到60后则向小时计数器进一位,而小时计数器则按照“12翻1”规律计数,也就是说当小时计数器的数字达到12后则变为1重新循环计数。小时、分、秒计数器的输出信号分别经过各自的译码器进行译码后,再分别送往各自的显示器显示。有些时候,计时器的计时会出现一些

数字钟电路设计

数字钟课程设计

?目录 Ⅰ前言 1、概述及设计目的 (3) 2、设计思想 (3) 3、功能要求 (4) 4、组成框图 (4) Ⅱ基本组成和其工作原理 5、振荡器 (5) 6、分频器 (7) 7、秒计数器 (8) 8、分计数器 (9) 9、时计数器 (10) Ⅲ总图 (11) Ⅳ课程设计心得 (12)

Ⅰ前言 1、概述及设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能、数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 2、设计思想 数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。将标准秒脉冲信号送入“秒计数器”,该计数器采用

60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计数器,可以实现一天24h的累计。译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通过六位LED显示器显示出来。整点报时电路是根据计时系统的输出状态产生一个脉冲信号,然后去触发音频发生器实现报时。校时电路是来对“时、分、秒”显示数字进行校对调整。 3、功能要求 基本功能: 准确计时,以数字形式显示时分秒的时间 小时的计时要求为“12翻1”,分和秒的计时要求为60进位 校正时间 4、组成框图 ①振荡器: 通常用555定时器与RC构成的多谐振荡器,经过调整输出1000Hz脉冲。 ②分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能扩展电路所需要的信号,选用三片74LS90进行级联,因为

数字电路课程设计--数字闹钟计时器

数字电路课程设计 姓名:李志波 专业:电子信息工程 年级:2012级

数字闹钟计时器 一.实验目的 1.通过这个实验进一步了解掌握各种功能芯片的功能,并能够在电路系统中正确应用。 2.强化巩固专业课课程内容,学会对电路的系统分析。 3.初步了解基础的电路设计思路和方法,锻炼自己的动手能力,巩固电子焊接技术。 二.实验原理 1.显示译码器 74LS248(74LS48)是BCD码到七段码的显示译码器,它可以直接驱动共阴极数码管。它的引脚图及功能如下: (a)要求输入数字0~15时“灭灯输入端”BI必须开路或保持高电平,如果不要灭十进制的0,则“动态灭灯 输入”RBI必须开路或者为高电平。 (b)当灭灯输入端BI接低电平时,不管其他输入端为何种电平,所有各端输出均为低电平。 (c)BI/RBO是线与关系,既是“灭灯输入端”BI又是“动态灭灯输出端”RBO。 2.数码显示器 LC5011-11就是一种共阴极数码显示器,它的管脚图如图1,X为共阴极,DP为小数点。其内部是八段发光二极管的负极连在一起的电路。当在a.b.c.d.e.f.g.DP加上正向电压时,

各段二极管就会被点亮,例如,利用74LS48

ABCD 四个引脚 接上一级输出LT,RBO/BI ,RBI 接高电平,或悬空。 3,十进制集成计数电路74LS90 74LS90时异步二-五-十进制计数器。其管脚图如图 U1 74LS90D Q A 12Q B 9Q D 11 Q C 8I N B 1 R 916 R 927R 012I N A 14R 02 3 G N D 10 V C C 5它的内部由两个计数电路组成,一个为二 进制,计数电路,计数脉冲输入端为CP1,输出端为QA QB QC QD.这两个计数器可独立使用,当QA 连到CP2时,可构成十进制计数器。 它具有复零输入端ROA,ROB 和复9输入端R9A R9B 。如果复零输入端ROA,ROB 皆为高电平时,计数器复零;如果复9输入端R9A,R9B 皆为高电平时,计数器复9。计数时ROA,ROB 其中之一接高电平或者二者都接高电平,并要求复9输入端R9A,R9B 其一接低电平或者同时接低电平。用74LS90接成的24进制计数器电路如图

数字钟电路设计(闪烁整点报时)(数电)

数字钟电路设计 电气工程及其自动化苏盛指导老师曾繁政 【引言】电子钟是一种利用数字电路来显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到广泛应用。因此,时钟已不仅仅被看成一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的功能。 【内容摘要】数字时钟实际上是一个对标准频率(1HZ)进行计数的计数电路。振荡器是数字时钟的核心,选用555定时器构成振荡器电路。以计数器74LS90来实现时间计数单元的计数功能。显示译码器74LS48将输入的8421BCD码转化成驱动数码管发光的高、低电平信号,驱动数码显示出不同的六、十和二十四进制数字符。用门电路实现校时及整点报时电路。时间以24小时为一周期。 【关键词】数字时钟,振荡器,校时,整点报时 一、方案设计与论证 论文采取理论分析和实践研究相结合的研究方案。在理论分析上,论文主要结合数字电路的知识,涉及数字时钟电路的结构和原理分析;在实验验证方面,采用计算机模拟和实物实践的方法,应用PROTEL软件进行电路图设计和PCB的制作,使用元器件完成电路实物的安装,利用电子辅助工具对实物进行调试。此方案已在毕业设计制作过程中得到论证。 (一)、设计目的 数字时钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,节省了电能。因此得到了广泛的使用。数字时钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。通过设计加深对刚刚学习了的数字电子技术的认识。 我们此次设计数字时钟是为了了解数字时钟的原理,加深对我们所学知识的了解和认识、以及知识迁移的能力。而且通过数字时钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字时钟包括组合逻辑电路和时叙电路,通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法,以及各种电路之间的怎样联系起来的。 (二)、设计指标 1、显示时、分、秒,24小时制。 2、具有校时功能,可以对小时和分单独校时。 3、具有整点报时功能。 4、为了保证计时准确、稳定,由555振荡电路提供标准时间的基准信号。 二、系统框图

课程设计:数字式计时器电路555定时器.

课程设计:数字式计时器电路-555定时器.

目录 目录 (2) 1.系统设计思路与总体方案 (3) 1.1 设计思路与流程图 (3) 2.Multisim软件的简介 (4) 2.1Multisim概貌及特点 (4) 3.555定时器,CD4518和CD4011介绍 (7) 3.1 555定时器 (7) 3.2 CD4518引脚功能 (10) 3.3 CD4011引脚图 (11) 4. 数字逻辑,振荡器,计数器和显示电路图 (13) 4.1数字逻辑模块 (13) 4.2振荡器模块 (14) 4.3 计数器模块 (17) 4.4 显示器模块 (18) 5. 电路的总体设计与调试 (18) 5.1 总体电路原理图 (18) 5.2总体电路工作原理 (19) 6.课程设计感受 (20) 6.1 课程设计中的收获和体会 (20) 7.附录与文献 (22) 7.1附录 (22) 7.2参考文献 (23)

1.系统设计思路与总体方案 1.1 设计思路与流程图 根据任务书可以知道本课题是一个2位数字显示计数器,是一个十进制计数器组合,本质上就是一计时器。通过一个时基电路产生一定频率脉冲,将脉冲信号输入低位的计数器输入端,通过一级级的进位,从而达到计数。从而完成此课题,我们可以将这整个计数系统,分为几个模块进行分析。 (1).数字逻辑控制模块。通过使用门电路来控制计时器进位及清零。 (2).脉冲信号产生模块。由一个振荡电路来产生一个固定频率的脉冲信号,作为计时器的时基信号。 (3).计时数计数模块。接收计时及中断信号脉冲,从而控制计数器计数,且有清零功能,该模块选用十进制计数器。 (2).译码显示模块。该模块要显示00到99的数字,选用十进制计数器的基础上,通过它们之间的级联,最终显示相应数字。 该数字式定时器,需要用到555定时器,由此产生振荡信号,在数字逻辑电路的控制下,由计数器计数,最后在数码管上显示出来,画为流程图如下:

多功能数字钟电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 时间安排: 第20周理论设计、实验室安装调试,地点:鉴主15楼通信实验室一 指导教师签名:年月日 系主任(或责任教师)签名:年月日

多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4) 2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

数字电子钟的设计电路图pcb图

数字电子钟的设计与制作 一、设计概述 1.设计任务 ➢时钟脉冲电路设计 ➢60进制计数器设计 ➢24进制计数器设计 ➢“秒〞,“分〞,“小时〞脉冲逻辑电路设计 ➢“秒〞,“分〞,“小时〞显示电路设计 ➢“分〞,“小时〞校时电路 ➢整点报时电路 2.功能特性 ➢设计的数字钟能直接显示“时〞,“分〞,“秒〞,并以24小时为一计时周期。 ➢当电路发生走时误差时,要求电路具有校时功能。 ➢要求电路具有整点报时功能,报时声响为四低一高,最后一响正好为整点。 3.原理框图 图 1 原理框图 二、设计原理 数字钟是一个将“时〞,“分〞,“秒〞显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时功能。因此,一个根本的数字钟电路主要由译码显示器、“时〞,“分〞,“秒〞计数器、校时电路、报时电路和振荡器组成。干电路系统由秒信号发生器、“时、分、秒〞计数器、译

码器及显示器、校时电路、整点报时电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。将标准秒信号送入“秒计数器〞,“秒计数器〞采用60进制计数器,每累计60秒发现胡一个“分脉冲〞信号,该信号将作为“分计数器〞的时钟脉冲。“分计数器〞也采用60进制计数器,每累计60分钟,发出一个“时脉冲〞信号,该信号将被送到“时计数器〞。“时计数器〞采用24进制计时器,可实现对一天24小时的累计。译码显示电路将“时〞、“分〞、“秒〞计数器的输出状态菁七段显示译码器译码,通过六位LED七段显示器显示出来。整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时。校时电路时用来对“时〞、“分〞、“秒〞显示数字进展校对调整的。 三、设计步骤 1.计数器电路 根据计数周期分别组成两个60进制〔秒、分〕和一个24进制〔时〕的计数器。把它们适当连接就可以构成秒、分、时的计数,实现计时功能。CC4518的符号如图,一个芯片集成了两个完全一样的十进制计数器,其异步清零信号CR是高电平有效。记数脉冲输入CP和记数允许EN是或关系,即EN=1时,CP脉冲可以通过或门产生上升沿触发记数,反过来如将CP端接低电平〔CP=L〕,那么EN端可以参加计数脉冲,用其下降沿经反相通过或门产生上升沿触发计数,计数器输出8421BCD码。 ➢秒计数器的设计及安装 秒计数器为60进制计数器。实现此模数的计数器是由双BCD同步加计数器CD4518构成。秒计数器是六十进制,由一个十进制和一个六进制计数器异步级联组成,各记数器都接成下降沿触发方式,其中六进制计数器用异步复接法构成。两级计数器出现0110,0000时通过置数脉冲使计数器清零,也就是此时AQ2,AQ1通过与门后发出置数脉冲使计数器通过清零端AR清零〔如图2所示〕。 图 2 秒计数器 ➢分计数器的设计及安装

数字电路时钟脉冲设计

数字电路时钟脉冲设计 数字电路时钟脉冲设计是现代电子技术中非常重要的一部分,它在各种数字设备和电子系统中起到同步和计时的关键作用。本文将探讨数字电路时钟脉冲设计的基本原理和常见方法。 一、时钟脉冲的定义与作用 时钟脉冲是指在电子系统中周期性产生的方波信号,用于同步各个数字元件的工作。它以统一的时间间隔驱动数字电路中的各个部分,确保它们按照预定的时间序列进行操作。时钟脉冲的频率以赫兹(Hz)为单位表示,常用的时钟频率有1MHz、10MHz、100MHz等。 时钟脉冲在数字电路中的作用不可忽视。它提供了一种机制,使得数字信号能够在不同的电子元件之间同步传输,并确保数据的正确性和稳定性。时钟信号还能够用于计时、频率分频、数据采样等操作,为数字设备的正常运行提供保障。 二、时钟脉冲设计的基本原理 时钟脉冲的设计涉及到信号的周期、占空比以及稳定性等参数。下面介绍几种常见的时钟脉冲设计方法。 1. 单稳态多谐波脉冲生成器 单稳态多谐波脉冲生成器是一种常用的时钟脉冲设计方法。它通过单谐波信号输入和触发信号控制,产生一个具有多个周期的单稳态输

出脉冲。这种设计方法能够满足不同数字电路的时序需求,具有很高的灵活性和可扩展性。 2. 预分频器 预分频器是一种常见的时钟脉冲设计方式。它通过将输入信号频率进行整数分频,从而得到所需的输出时钟频率。预分频器常用于降低高频信号的频率,减少电路复杂度和功耗。 3. 锁相环 锁相环是一种利用反馈控制原理的时钟脉冲设计方法。它通过比较输入信号和本地参考信号的相位差,并通过调节输出信号的相位和频率,使两者保持同步。锁相环广泛应用于时钟恢复、频率合成和时钟同步等领域,具有很高的精度和稳定性。 三、时钟脉冲设计的应用举例 时钟脉冲设计在数字电路中有着广泛的应用。以下是几个常见的应用举例。 1. 操作控制 时钟脉冲用于控制数字设备各个操作的时序。例如,CPU根据时钟脉冲的上升沿或下降沿进行指令的读取和执行,以确保操作的有序进行。时钟脉冲还可以用于串行数据的并行调度,提高数据传输效率。 2. 数据采样

数字电路课程设计-篮球竞赛30s计时器

目录 前言 (2) 第一章设计任务及要求 (3) 第二章电路设计原理与设计电路 (4) 第三章单元模块 3.1 秒脉冲发生器 (5) 3.2 倒计时电路 (6) 3.3 数码管驱动电路 (7) 3.4 报警电路 (7) 3.5 控制电路 (8) 第四章电路仿真、安装与调试 4.1 电路仿真 (10) 4.2 安装与调试 (10) 第五章实验总结 (11) 参考文献 (12) 附录一 (13) 附录二 (14) 附录三 (15)

前言 数字系统中,使用的最多的时序电路是计数器,计数器在生活中得到了广泛的应用。篮球运动近几年在我国兴起,逐渐成为一种全民健身的项目。篮球比赛中的30秒规定使得计数器再次发挥了它的功能。本次设计中利用即为双向计时器的减计数功能完成电路的倒计时。除了能够显示30秒倒计时外,本设计电路还具有直接清零、暂停、报警等功能。 在正规的篮球比赛中,对时间的精确度的要求较高,有时在最后的几分钟内赛事可以发生很大的逆转。而运动员控球不可超过30秒的规定更是将比赛对时间的要求精确到了秒甚至更小的单位。为了满足赛事对时间的要求,设计一个30秒倒计时器,通过显示倒计时,倒计时结束时发出警报帮助裁判更好的判定运动员是否违规。通过控制电路报警,很大程度上避免了人为因素产生的误差,从而更体现了比赛中的公平公正原则。并且,随着篮球运动的流行,这种计时器的市场十分可观。因此,设计一个30秒倒计时器意义重大。 第一章设计任务及要求 (1)具有显示30S计时功能; (2)设置外部操作开关,控制计数器的直接清零,启动和暂停/连续功能;(3)在直接清零时,要求数码显示器灭灯; (4)计时器为30S递减计时,计时间隔为1S; (5) 计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。

电子秒表电路的设计

摘要 在数字测量仪表和各种数字系统中,都需要将数字量直观的显示出来,数字显示电路通常由译码驱动器和显示器等部分组成。数码显示器就是用来显示数字、文字或符号的器件。七段式数字显示器是目前常用的显示方式,它利用不同发光段的组合,可以显示0~9等阿拉伯数字。充分运用芯片74LS90的逻辑功能,用四片74LS90芯片实现秒表示0.1~60秒。利用集成与非门构成的基本RS触发器(低电平直接触发)实现电路的直接置位、复位功能。利用集成与非门构成的微分型单稳态触发器为计数器清零提供输出负脉冲。利用555定时器构成的多谐振荡器为电路提供脉冲源以驱动电路工作。 关键词:基本RS触发器,单稳态触发器,多谐振荡器,译码显示器。

1电子秒表简介 电子秒表是一种较先进的电子计时器,目前国产的电子秒表一般都是利用石英振荡器的振荡频率作为时间基准,采用6位液晶数字显示时间。电子秒表的使用功能比机械秒表要多,它不仅能显示分、秒,还能显示时、日、月及星期,并且有1/l00s的功能。 本实验设计的电子秒表电路的基本组成框图如图1-1所示,它主要由基本RS触发器、单稳态触发器、多谐振荡器、计数器和译码显示器5个部分组成。 图1-1 电子秒表电路的基本组成框图

2单元电路设计及相关元器件的功能简介 2.1基本RS触发器 本实验设计电路所选用的基本RS触发器为用集成与非门构成的基本RS触发器。属低电平直接触发的触发器,有直接置位、复位的功能。其功能表如表2-1所示。 表2-1 基本RS触发器 如图2-1所示,它的一路输出Q作为单稳态触发器的输入,另一路输出Q作为与非门5的输入控制信号。切换按钮开关K1(接地),则门1输出Q =1;门2输出Q=0,K1复位后Q、Q状态保持不变。再切换按钮开关K2,则Q由0变为1,门5开启,为计数器启动作好准备;Q由1变为0,送出负脉冲,启动单稳态触发器工作。 基本RS触发器在电子秒表中的职能是启动和停止秒表的工作. 图2-1 基本RS触发器

相关主题
相关文档
最新文档