荐)ModelSim SE仿真Altera库的一些问题 常见仿真错误 问题 合集

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

荐)ModelSim SE仿真Altera库的一些问题常见仿真错误问题合集

1. modelsim怎么调用altera的库仿真啊?(megafunctions)

以前有个帖子说把quartus安装目录下的sim文件夹里面的文件编译进modelsim里面就可以了,可是sim文件夹里面我要的那个函数不是.v文件啊,还有他里面的一些.vhd文件怎么编译错误啊?

是eda/sim_lib里,编译错误,我想是你编译的顺序不对

用EDA/SIM_LIB中文件直接放到PROJECT中,你需要看看它的告错信息。一般是缺库。你可以按提示缺的库,在FILE/NEW/LIBRARY菜单里创建一个映射到WORK的库。这样一般就好了。

如何在modelsim里如altera的库中做后仿真啊,急死了

我用synplify综合后,用modelsim做后仿真,我在modelsim里面加入了C:quartusedasim_libmodelsimvhdl里面的两个库,但是编译的时候还是提示我找不到library apex20k。还要加什么库啊???郁闷死了

vlib apex20k

vmap apex20k apex20k

vcom -work apex20k c:/quartus/eda/sim_lib/apex20k_atoms.vhd

vcom -work apex20k c:/quartus/eda/sim_lib/apex20k_components.vhd

谢谢i8086,我现在知道怎么加入altera的库了,但是错误依然在,不知道是什么原因,modelsim里面的提示如下:vcom -reportprogress 300 -work work {D:/caiyang/rev_1/caiyang_1.vhd}

# Model Technology ModelSim SE vcom 5.7e Compiler 2003.07 Jul 8 2003

# -- Loading package standard

# ** Error: (vcom-19) Failed to access library 'acex2k' at "acex2k".

# No such file or directory. (errno = ENOENT)

# ** Error: D:/caiyang/rev_1/caiyang_1.vhd(7): Library acex2k not found.

# -- Loading package std_logic_1164

# -- Loading package numeric_std

# -- Loading package components

# ** Error: D:/caiyang/rev_1/caiyang_1.vhd(12): Unknown identifier: acex2k

# ** Error: D:/caiyang/rev_1/caiyang_1.vhd(14): VHDL Compiler exiting

library ieee, acex2k;

use ieee.std_logic_1164.all;

use ieee.numeric_std.all;

library synplify;

use ponents.all;

use acex2k.acex2k_components.all;

~~~~~~~~~~~~~~~就是提示找不到这个东西,这是用synplify综合后的文件的前面几行代码。

除了把altera的apex20k库加上外,还要把acex2k对应的库加上,跟加apex20k库差不多。不过我不知道acex2k对应的vhd文件。

就是不知道是哪个文件啊。我选用的是cyclone的器件,好像synplify里面是用acex2k来表示的。而且我把quartus里面cyclone_atoms.vhd和cyclone_components.vhd也加了的,都不起作用。难道应该加synplify里面的库文件?我只在modelsim里面加了synplify的synplify.vhd这个文件,是不是不够?

换成acex1k系列的都可以做后仿真

2. 请哪位好心人给详细讲解一下如何在ModelSim中添加Quartus的库啊!

到处都是讨论ModelSim和Xilinx的,怎么没人给讲解一下Quartus库文件使用的问题啊,难道没人用Altera的吗?哪位好心人给详细讲解一下如何在ModelSim中添加Quartus的库吧,我都郁闷了好几天了啊

altera公司的仿真库都在quartus安装目录EDASIM_LIB下,以VHDL和VERILOG的形式存在。你需要什么器件就往工程里加入什么器件的文件。我用CYCLONE,就把CYCLONE_ATOMS.VHD加入工程。编译时它会提示需要CYCLONE库。就在MODELSIM中创建名叫CYCLONE的库,MAP到WORK库就可以了。其实你会碰到类似的很多没有的库都可以这样产生。

我用来仿真VHDL写的工程,对于VERILOG的不清楚。我也在问别人如何用MODELSIM进行混合编程的工程?对于这种工程我现在只能用ACTIVEHDL仿真。

3. 如何在modelsim中指定Altera的仿真库?

我就是按照精华区那个帖子上说的把quartusedasim_libaltera_mf.v、lpm生成的afifo.v、testbench.v放在一起编译,照着做还是提示如下错误实在是搞不懂怎么回事?

# Loading work.afifo_sim

# ** Error: (vsim-3033) F:/Modeltech_5.7e/examples/work/afifo/afifo_testbench.v(46): Instantiation of 'Afifo' failed. The design unit was not found.

# Region: /afifo_sim

# Searched libraries:

# work

从Error上看应该是你的testbench中调用自己做的afifo出的问题,查查是不是管脚引用的不对或是名称写错了?应该不是大问题。你的步骤没错

4. [急请]在modelsim中如何导入Altera的lpm库呢?

limingnefu 你好!我用你提供的资料编译ALTERA的CYLONE库时,编译cyclone_atoms.v可以没有错,而编译cyclone_atoms.vhd和cyclone_components.VHD时有错误,信息如下:

# ** Error: (vcom-19) Failed to access library 'cyclone' at "cyclone".

我把quartus II 输出的*.VO文件导入仿真时出现如下错误,请问如何解决?谢谢!

Error: (vsim-3033) G:/work/LCD/testintmcu/simulation/modelsim/IntfMcu.vo(4799): Instantiation of 'cyclone_io' failed. The design unit was not found.

# Region: /testbench/u0

#Searched libraries:

# work

相关文档
最新文档