EDA课程设计-计步器

EDA课程设计-计步器
EDA课程设计-计步器

信息科学与技术学院《EDA技术使用教程》

课程设计报告

题目名称:计步器设计

学生姓名:卢霞

学号:2012508179

专业年级:电信2012级2班

指导教师:张锐敏老师

时间:2015年1月3日

目录

三、设计内容 (4)

3.1系统分析 (4)

3.2方案设计 (5)

3.3电路模块选择 (5)

3.3.1检测上升沿模块 (6)

3.3.2计数模块 (7)

3.3.3扫描输出模块 (8)

3.3.4输入输出信号模块 (10)

四、程序设计 (10)

五、仿真结果 (15)

5.1仿真截图 (15)

5.2结果分析 (17)

六、遇到的问题 (17)

七、设计心得 (18)

八、参考文献 (20)

计步器的设置

一、设计背景

从我国的电子计步器市场发展来看,近几年随着行业需求市场的进一步增长,电子计步器呈现良好的发展态势。而随着人民生活水平的逐步提高,大家更加注重身体健康,锻炼在日常生活中显得尤为重要,而计步器就成为了大家比较青睐的锻炼伙伴。

此次交计步器的设计采用基于Verilog HDL语言和FPGA的方法来实现所要求的功能。基于FPGA的计步器设计方法具有设计的灵活性,易于修改,设计周期短等纵多优点。随着设计语言、电子设计自动化和FPGA期间的不断发展,基于FPGA期间的不断完善和发展。在不远的将来。由FPGA设计的产品将越来越普遍。

二、设计要求

通过对设计的模块划分,以及各模块功能的定义,以FPGA为核心器件,用VHDL设计手段制作、用硬件描述性语言编写程序实

现设计中各个模块的基本功能,完成其功能仿真和编译并生成底层模块,在Quartus中完成顶层设计并编译通过,完成设计下载并调试电路。

1)系统时钟1MHz;

2)拥有计步、暂停、清零(复位)功能;

3)输入端每进入一个计步脉冲(可能存在抖动),步数

计数+1,计步结果以十进制数显示在数码管上;

4)点下暂停键,计数停止,并有信号控制指示灯闪烁,

闪烁周期1s;再次点击暂停键,继续计数,指示灯停止闪

烁;

5)点下清零键,计数归零;

6)要求能够对计步脉冲和按键的抖动进行正确处理,2ms

以内的变化忽略不计;

7)持续10s无计数脉冲后,自动转入暂停状态,计数停

止,并有信号控制指示灯闪烁,闪烁周期1s;再次点击暂

停键,继续计数,指示灯停止闪烁;

三、设计内容

3.1系统分析

本实验设计完成一个电路来检测目标运动的次数,并在数码管

上显示。用传感器检测抖动信号,采集到的信号经过放大器放大,将信号转变成方波,编程将信号在 FPGA 方式处理,并将结果送数码管显示。

3.2方案设计

根据设计要求和系统所具有功能,并参考相关的文献资料经行方案设计画出如下所示的计步器系统框图,及为设计的总体方案,框图如下图所示

3.3电路模块选择

本设计因为各个模块功能简单,故直接用内部信号线连接起来形

成一个整体,但是各模块功能简单介绍如下:

3.3.1检测上升沿模块

DIN为实际检测到的抖动信号,通过和D触发器的配合形成计数模块的使能端信号控制计数器的计数过程。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_UNSIGNED.all;

entity jibuqi is

port (din : in std_logic;

clk : in std_logic;

reset: in std_logic;

light:out std_logic_vector(7 downto 0);

en_out:out std_logic_vector(7 downto 0));

end jibuqi;

part1:process(clk,reset)---------D 触发器

begin

if (reset='0') then

b1<='0';

elsif (clk'event and clk='1') then

b1<=din;

end process ;

3.3.2计数模块

当使能信号EN为1时,通过检测上升沿信号实现计数,并将计数信息保存在b5,b6变量内,b5为计数个位值,b6为计数十位值。part3: process(clk,reset,b4)-------- 计数器模块

begin

if (reset='0') then

b5<=(others=>'0') ;

b6<=(others=>'0');

elsif (clk'event and clk='1') then

if (b4='1') then

if (b5<"1001")then b5<=b5+'1';

elsif (b5>"1000") then b5<=(others=>'0');

if (b6<"1001")then b6<=b6+'1';

elsif (b6>"1000")then b6<=(others=>'0');

end if;

end if;

elsif (b4='0') then

b5<=b5;

end if;

end if;

end process;

3.3.3扫描输出模块

扫描输出模块主要由分频器构成,通过计数器构成的分频器控制电平翻转控制位的选择,以此确定输出十位和个位。

part4:process(clk,reset)-------- 扫描输出模块,控制使能输出

begin

if (reset='0') then

b8<=(others=>'0');

elsif (clk'event and clk='1') then

if (b8<"1111111111111111") then

b8<=b8+'1';

elsif (b8>"1111111111111110") then b8<=(others=>'0'); end if;

end if;

if (b8>"0111111111111111" and b8<"1111111111111111") then b9<='1' ;

elsif (b8<"1000000000000000" and b8>"0000000000000000")

then b9<='0';

end if;

if (b9='1') then en_out<="11111101";

elsif (b9='0') then en_out<="11111110";

end if;

end process ;

part5:process(b9,b5,b6)--------- 扫描输出模块,控制 LED 管

begin

if (b9='0') then plays<=b5;

elsif (b9='1') then plays<=b6;

end if;

case plays is

when"0000"=>light<="11000000";

when"0001"=>light<="11111001";

when"0010"=>light<="10100100";

when"0011"=>light<="10110000";

when"0100"=>light<="10011001";

when"0101"=>light<="10010010";

when"0110"=>light<="10000010";

when"0111"=>light<="11111000";

when"1000"=>light<="10000000";

when"1001"=>light<="10010000";

when others=>light<="11111111";

end case;

end process ;

end Behavioral;

3.3.4输入输出信号模块

din,clk,Reset为输入信号,din为抖动信号,即人体运动时的计步信号,clk为时钟脉冲信号为计数器提供时钟脉冲,Reset 为复位信号,实现计步器复位(清零)。En,dout(light)为输出信号,En_out为选择显示十位还是个位,dout为计数值,并编码显示在数码管上。

四、程序设计

一个完整的VHDL语言程序通常包括实体(Entity)、结构体、配置、包集合(Package)和库(Library)5个部分组成。下面

为此次课程设计的源代码。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_UNSIGNED.all;

entity jibuqi is

port (din : in std_logic;

clk : in std_logic;

reset: in std_logic;

light:out std_logic_vector(7 downto 0);

en_out:out std_logic_vector(7 downto 0));

end jibuqi;

architecture Behavioral of jibuqi is

signal b1,b4,b9:std_logic;------- 中间变量

signal b8:std_logic_vector(15 downto 0);------ 分频signal b5,b6:std_logic_vector(3 downto 0);------- 计数输出个位与十位

signal plays:std_logic_vector(3 downto 0);-------- 选择十位或个位

begin

part1:process(clk,reset)---------D 触发器

begin

if (reset='0') then

b1<='0';

elsif (clk'event and clk='1') then

b1<=din;

end if;

end process ;

part2: process(b1,din)------ 比较器与门后输出begin

if ( b1='0' and din='1' ) then

b4<='1' ;

else

b4<='0';

end if;

end process;

part3: process(clk,reset,b4)-------- 计数器模块begin

if (reset='0') then

b5<=(others=>'0') ;

b6<=(others=>'0');

elsif (clk'event and clk='1') then

if (b4='1') then

if (b5<"1001")then b5<=b5+'1';

elsif (b5>"1000") then b5<=(others=>'0');

if (b6<"1001")then b6<=b6+'1';

elsif (b6>"1000")then b6<=(others=>'0');

end if;

end if;

elsif (b4='0') then

b5<=b5;

b6<=b6;

end if;

end if;

end process;

part4:process(clk,reset)-------- 扫描输出模块,控制使能输出

begin

if (reset='0') then

b8<=(others=>'0');

elsif (clk'event and clk='1') then

if (b8<"1111111111111111") then

b8<=b8+'1';

elsif (b8>"1111111111111110") then b8<=(others=>'0'); end if;

end if;

if (b8>"0111111111111111" and b8<"1111111111111111") then b9<='1' ;

elsif (b8<"1000000000000000" and b8>"0000000000000000") then b9<='0';

end if;

if (b9='1') then en_out<="11111101";

elsif (b9='0') then en_out<="11111110";

end if;

end process ;

part5:process(b9,b5,b6)--------- 扫描输出模块,控制 LED 管

begin

if (b9='0') then plays<=b5;

elsif (b9='1') then plays<=b6;

end if;

case plays is

when"0000"=>light<="11000000";

when"0001"=>light<="11111001";

when"0010"=>light<="10100100";

when"0011"=>light<="10110000";

when"0100"=>light<="10011001";

when"0101"=>light<="10010010";

when"0110"=>light<="10000010";

when"0111"=>light<="11111000";

when"1000"=>light<="10000000";

when"1001"=>light<="10010000";

when others=>light<="11111111";

end case;

end process ;

end Behavioral;

五、仿真结果

5.1仿真截图

利用QuartusII软件对本程序进行编译,生成了可以进行仿真定时分析以及下载到可编程器件的相关文件。仿真结果如图所示

设置好的vwf仿真激励波形文件图

仿真输出波形报告

5.2结果分析

通过设定Clk值以及din和Reset的初值,就可以得到如上所示的仿真波形图。由仿真波形图可以看出波形是由din初值信号触发而显示出各个状态的。Reset高电平信号输入时,对应en_out选定位选显示对应计数值。

六、遇到的问题

1、问题首先出现在Quartus软件的应用上,一开始把文件名

取名为汉字名“计步器”,出现错误,后来知道,文件必须保存在英文文件夹下。

2、一开始没有注意到程序文件保存时要保存成实体名,VHD

的形式,在编译时出错。

3、在写程序的时候会输错部分关键字或漏掉一些小的标点之

类的,导致编译不通过,需要经过反复查找,所以,觉得在输入程序时就应注意细节,提高一次正确率,避免一些不必要的小错误的发生,起到事半功倍的效果。

4、在编译过程中一定要按照步骤,细心仔细的完成每一个选

项,在这个部分很容易出错。如果选项错误,那么在时序仿真是会出错。

七、设计心得

通过本次EDA课程设计,由于我们去图书馆查阅了很多书籍,因此获得了很多知识,同时也发现了自己的很

多不足,由于课程设计的时间紧迫,有些瑕疵和漏洞还没

有进一步完善。但是通过这几天的学习,我还是发现了很

多知识上的漏洞,同时也看到了自己的动手能力还是比较

缺乏、独立思考的意识还不强、理论联系实际的能力还急

需提高。

正是这次课程设计让我学到了很多,我总是想着能动嘴就不动手,因此在实践中总是出现这样那样的问题,

但是在大家的齐心协力下,我们不仅巩固了先前学的EDA

技术的理论知识,而且也培养了我们的动手能力,更令我

的创造性思维得到拓展。在课程设计中一个人的力量是远

远不够的,真正完成任务需要我们团结一心,互相协作,

在此过程中我得到了师哥师姐们以及同组同学的热心帮

助才最终完成了这个题目,我也曾经因为实践经验的缺乏失落过,也曾经仿真成功而热情高涨。

在设计过程中,我们应该培养自己的独立思考、分析问题的能力。通过这次的设计,实现了自己动手实践。实现了理论与实践相结合。在课下和同学的交流中,我发现我们所欠缺的东西真的很多,需要学习的东西更多,只有一直保持积极向上、激情活力的心,有目标、有理想,并不断为之付出努力,就一定会取得成功。

最后,通过这次的课程设计,还有一点是我们做任何事情都无法缺少的,那就是细心认真。此次设计我们就深深地体会到了,由于编程的时候没有做到足够的细心,导致一串代码弄混了。但是密密麻麻的英文字母混在一起,我始终没有发现。最终在调试的时候,就出现了问题。只知道出现了问题,就是不知道到问题的根源在哪里,好长时间都没有找出问题的所在。这也让我真正的明白了,科学的严谨性,它不允许出半点差错,否则后果会是比较麻烦的。做其他事情也一样,都需要我们付出足够的认真去对待,才能顺利的完成。书山有路勤为径,学海无涯苦作舟,需要我们学习的地方还很多,我们必须一步一个脚印,踏踏实实,用认真努力的态度,为自己的大学生活添上浓墨重彩的一笔。

八、参考文献

1、《EDA技术使用教程》第四版潘松主编

2、《电子技术与EDA技术课程设计指导》郭朝南主编中南大学出版社

3、《电子线路设计、实验、测试》谢自美主编华中理工出版社

单片机电子时钟课程设计报告报告

目录 1、引言 (3) 2、总体设计 (4) 3、详细设计 (5) 3.1硬件设计 (5) 3.2软件设计 (10) 4、实验结果分析 (26) 5、心得体会 (27) 6、参考文献 (27)

摘要 单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注,应用很广、发展很快。单片机体积小、重量轻、抗干扰能力强、环境要求不高、价格低廉、可靠性高、灵活性好、开发较为容易。由于具有上述优点,在我国,单片机已广泛地应用在工业自动化控制、自动检测、智能仪器仪表、家用电器、电力电子、机电一体化设备等各个方面,而51单片机是各单片机中最为典型和最有代表性的一种。这次毕业设计通过对它的学习、应用,以AT89S51芯片为核心,辅以必要的电路,设计了一个简易的电子时钟,它由4.5V直流电源供电,通过数码管能够准确显示时间,调整时间,从而到达学习、设计、开发软、硬件的能力。 关键词:单片机AT89C51

1.引言 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。 目前,单片机正朝着高性能和多品种方向发展趋势将是进一步向着CMOS化、低功耗、小体积、大容量、高性能、低价格和外围电路装化等几个方面发展。下面是单片机的主要发展趋势。单片机应用的重要意义还在于,它从根本上改变了传统的控制系统设计思想和设计方法。从前必须由模拟电路或数字电路实现的大部分功能,现在已能用单片机通过软件方法来实现了。这种软件代替硬件的控制技术也称为微控制技术,是传统控制技术的一次革命。

EDA课程设计—秒表

EDA 课程设计 姓名:王亮 学号:2012118064 班级:1211自动化

一、课程设计目的 1、熟练利用Verilog HDL语言进行数字系统设计。 2、掌握数字系统的设计方法——自顶向下的设计思想。 3、掌握计数器的设计与使用。 4、根据秒表的功能要求设计一个秒表。 5、熟练掌握用Quartus II软件进行系统原理图设计、文本设计以及进行波形仿真。 二、课程设计所需器材 装有Quartus II软件的电脑一台、FPGA教学实验系统一台、下载电缆一根。 三、课程设计要求 1、有秒、分计数,数码扫描显示输出。 2、有清零端和暂停端。 3、下载,检查结果是否正确。 四、课程设计原理 1、功能描述 秒表是一种计时的工具,有着很广泛的用途。本实验中的秒表要求有两个功能按钮:一个是计数和停止计数按钮,当第一次按下此按钮时,秒表开始计数,再一次按下时,秒表停止计数,并显示所计的数字;另一个是清零按钮,当按下此按钮时,秒表清零。在数码管上采用动态扫描显示输出。 2、基本原理: 本设计中用到的主要元件有计数器、分频器、数据选择器、译码器、位选信号发生器等。秒、分都是60进制计数,所以必须采用两个60进制的计数器,而百分秒择采用的是100进制;分频器主要将1KHZ的时钟信号经过10分频后,产生100HZ的单位时钟周期;数据选择器主要功能是将即将显示的数据送给译码器;译码器将BCD码转换为七段译码进行显示;位选信号发生器根据人眼暂留效应和显示的数码的个数,产生一段循环码。 3、自顶向下的设计方法 自顶向下的设计方法是数字系统设计中最常用的设计方法,也是基于芯片的系统设计的主要方法。 自顶向下的设计方法利用功能分割手段将设计由上到下进行层次话和模块化,及分层次、分模块进行设计和仿真。功能分割时,将系统功能分解为功能块,功能块再分解为逻辑块,逻辑块再分解为更少的逻辑块和电路。如此分割,逐步的将系统细化,将功能逐步的具体化,模块化。高层次设计进行功能和接口描述,说明模块的功能和接口,模块功能的更详细描述在下一设计层次说明,最底层的设计才涉及具体寄存器和逻辑门电路等实现方式的描述。 五、课程设计步骤 1、采用自顶向下的设计方法,首先将系统分块。 2、设计元件,及逻辑块。

基于Android平台的计步器的设计与实现

南阳理工学院本科生毕业设计(论文) 学院(系):软件学院 专业:软件工程 学生:张三 指导教师:张三 完成日期 2016 年 04 月

南阳理工学院本科生毕业设计(论文) 基于Android平台的爱运动计步器的 设计与实现 Design and Implementation of the Loving Sports Pedometer Based on Android Platform 总计:毕业设计(论文) 33页 表格:1个 图片:1个

南阳理工学院本科毕业设计(论文) 基于Android平台的爱运动计步器的 设计与实现 Design and Implementation of the Loving Sports Pedometer Based on Android Platform 学院(系):软件学院 专业:软件工程 学生姓名:张三 学号: 111 指导教师(职称):张三讲师 评阅教师:张三 完成日期: 2016年04月30日 南阳理工学院 Nanyang Institute of Technology

基于Android平台的爱运动计步器的 设计与实现 软件工程张三 [摘要]随着人们生活水平和生活质量的提高,人们越来越关注自己的身体健康。而跑步成为人们最受欢迎的运动方式,运动软件可以在人们锻炼身体的时候提供极大的帮助。本文针对运动轨迹和计步,设计一款基于Android平台的运动软件。本系统通过使用百度鹰眼、重力传感器和数据库技术,实现了运动轨迹追踪、计步、查看运动记录等功能。本系统界面友好,操作简单,可以稳定运行。本款运动软件适合空闲时间不足的人群,方便人们随时锻炼身体,并查看自己的运动情况。 [关键词]运动软件;运动轨迹;Android;百度鹰眼

基于单片机的电子时钟课程设计报告

目录 一、引言········ 二、设计课题········· 三、系统总体方案········· 四、系统硬件设计······ 1.硬件电路原理图 2.元件清单 五、系统软件设计········· 1.软件流程图 2.程序清单 六、系统实物图········ 七、课程设计体会········ 八、参考文献及网站········· 九、附录·········

一.引言 单片机因将其主要组成部分集成在一个芯片上而得名,就是把中央处理器、随机存储器、只读存储器、中断系统、定时器/计数器以及I/O接口电路等部件集成在一个芯片上。 基于单片机设计的数字钟精确度较高,因为在程序的执行过程中,任何指令都不影响定时器的正常计数,即便程序很长也不会影响中断的时间。 数字钟是采用数字电路实现对日期、时、分、秒,数字显示的计时装置,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表,钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表的报时功能。数字钟已成为人们日常生活中的必需品,广泛应用于家庭、车站、码头、剧院、办公室等场所,给人们的生活、学习、工作带来极大的方便。不仅如此,在现代化的进程中,也离不开电子钟的相关功能和原理,比如机械手的控制、家务的自动化、定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。而且是控制的核心部分。因此,研究数字钟及扩大其应用,有着非常现实的意义。 本设计使用12MHZ晶振与单片机AT89C51相连接,以AT89C51芯片为核心,采用动态扫描方式显示,通过使用该单片机,加之在显示电路部分使用HD74LS373驱动电路,实现在8个LED数码管上显示时间,通过4个按键进行调时、复位等功能,在实现各功能时数码管进行相应显示。软件部分用C语言实现,分为显示、延迟、调时、复位等部分。通过软硬件结合达到最终目的。

EDA课程设计——多功能数字钟

哈尔滨工业大学(威海) 电子学课程设计报告带有整点报时的数字钟设计与制作 姓名: 蒋栋栋 班级: 0802503 学号: 080250331 指导教师: 井岩

目录 一、课程设计的性质、目的和任务 (3) 二、课程设计基本要求 (3) 三、设计课题要求 (3) 四、课程设计所需要仪器 (4) 五、设计步骤 (4) 1、整体设计框图 (4) 2、各个模块的设计与仿真 (4) 2.1分频模块 (4) 2.2计数器模块 (6) 2.3控制模块 (10) 2.4数码管分配 (13) 2.5显示模块 (14) 2.6报时模块 (16) 六、调试中遇到的问题及解决的方法 (18) 七、心得体会 (18)

一、课程设计的性质、目的和任务 创新精神和实践能力二者之中,实践能力是基础和根本。这是由于创新基于实践、源于实践,实践出真知,实践检验真理。实践活动是创新的源泉,也是人才成长的必由之路。 通过课程设计的锻炼,要求学生掌握电路的一般设计方法,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,培养学生的创新精神。 二、课程设计基本要求 掌握现代大规模集成数字逻辑电路的应用设计方法,进一步掌握电子仪器的正确使用方法,以及掌握利用计算机进行电子设计自动化(EDA)的基本方法。 三、设计课题要求 (1)构造一个24小时制的数字钟。要求能显示时、分、秒。 (2)要求时、分、秒能各自独立的进行调整。 (3)能利用喇叭作整点报时。从59分50秒时开始报时,每隔一秒报时一秒,到达00分00秒时,整点报时。整点报时声的频率应与其它的报时声频有明显区别。 #设计提示(仅供参考): (1)对频率输入的考虑 数字钟内所需的时钟频率有:基准时钟应为周期一秒的标准信号。报时频率可选用1KHz和2KHz左右(两种频率相差八度音,即频率相差一倍)。另外,为防止按键反跳、抖动,微动开关输入应采用寄存器输入形式,其时钟应为几十赫兹。 (2)计时部分计数器设计的考虑 分、秒计数器均为模60计数器。 小时计数为模24计数器,同理可建一个24进制计数器的模块。 (3)校时设计的考虑 数字钟校准有3个控制键:时校准、分校准和秒校准。 微动开关不工作,计数器正常工作。按下微动开关后,计数器以8Hz频率连续计数(若只按一下,则计数器增加一位),可调用元件库中的逻辑门建一个控制按键的模块,即建立开关去抖动电路(见书70页)。 (4)报时设计的考虑

数字电子健身计步器设计

沈阳工程学院 课程设计 设计题目:电子健身计步器 系别电气工程系班级供电本102 学生姓名吴智昂学号 2010208231 指导教师黄硕职称讲师 起止日期:2012年 12月 10日起——至 2010年 12 月 14 日止

沈阳工程学院 课程设计任务书 课程设计题目:健身计步器 系别电气系班级供电本102 学生姓名吴智昂学号2010208231 指导教师黄硕职称讲师 课程设计进行地点:B 419 任务下达时间:2012年12 月1 日 起止日期:2012年12 月10 日起——至2012年12 月14日止

健身计步器的设计 1.设计任务描述 1.1设计题目:健身计步器 1.2设计要求 1.2.1 设计目的 (1)掌握健身计步器的构成、原理与设计方法; (2)熟悉集成电路的使用方法。 1.2.2 基本要求 (1)健身计步器中的传感器将人每走(跑)一步的振动以脉冲形式发出,将此脉冲整形作为基准计步脉冲; (2) 可以记录走(跑)步数,最大值为9999; (3) 假设每走25步可以消耗1卡的热量,所消耗卡路里的计数译码显示; (4) 记录本次健身时间。(可以分钟为单位) 1.2.3 发挥部分 (1)计步值的预置,当达到预置值时,发出庆祝的声音; (2)每走一千步发出提示音; (3)其他。 2 设计过程及论文的基本要求: 2.1 设计过程的基本要求 (1)基本部分必须完成,发挥部分可任选2 个方向: (2)符合设计要求的报告一份,其中包括逻辑电路图、实际接线图各一份; (3)设计过程的资料、草稿要求保留并随设计报告一起上交;报告的电子档需全班统一存盘上交。 2.2 课程设计论文的基本要求 (1)参照毕业设计论文规范打印,文字中的小图需打印。项目齐全、不许涂改,不少于3000 字。图纸为A3,附录中的大图可以手绘,所有插图不允许复印。 (2)装订顺序:封面、任务书、成绩评审意见表、中文摘要、关键词、目录、正文(设计题目、设计任务、设计思路、设计框图、各部分电路及参数计(重要)、工作过程分析、元器件清单、主要器件介绍)、小结、参考文献、附录(逻辑电路图与实际接线图)。

健康计步器毕业设计

健康计步器毕业设计

2017届电子信息工程专业本科毕业论文 健康计步器的设计 摘要:改革开放三十年来,随着社会的不断进步,人们的生活水平也不断的提高了,许多人把健身当做每天的一门必修的功课,在诸多的健身方法中,跑步便成了他们最有效,最简单的运动方法。计步器是一种颇受欢迎的日常锻炼进度监控器,可以激励人们挑战自己,增强体质,帮助瘦身,也成了计量跑步时间、步数和里程的最简易、有效的工具。计步器功能可以根据计算人的运动情况来分析人体的健康状况。而人的运动情况可以通过很多特性来进行分析。与传统的机械式传感器不同,MMA7455是微机械式三轴传感器,由它捕获人体运动时加速度信号,更加准确。信号通过低通滤波器滤波,由单片机内置A/D转换器对信号进行采样、A/D转换。软件采用自适应算法实现计步功能,减少误计数,更加精确。单片机STC89C52控制液晶显示计步状态。整机工作电流只有1-1.5mA,实现超低功耗。 关键字:计步器 MMA7455 加速度传感器低功耗 Abstract: Thirty years of reform and opening up, with the constant progress of the society, people’s living standard has been improved, many people consider fitness as every one of the compulsory courses, in many fitness method,

running as their most effective, the most simple method, pedometer is a popular daily exercise progress monitor, can motivate people to challenge themselves, enhance physical fitness, to help lose weight. pedometer also became the running time, measurement step number and calories burned the most simple, effective tool. Pedometer function can calculate the movement of people to analyze the situation of human health. And the movement of people can be analyzed by many features. With the traditional mechanical sensors differ, MMA7455 three-axis sensor is a capacitive acceleration signal by its human motion capture, and more accurate. Signal through a low pass filter, the microcontroller built-in A / D converter for signal sampling, A / D conversion. Software uses an adaptive algorithm pedometer function, reduce error count is more accurate. STC89C52 SCM control LCD pedometer state. Machine

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

数字秒表设计EDA课设报告

北华航天工业学院 《EDA技术综合设计》 课程设计报告 报告题目:数字秒表设计 作者所在系部:电子工程系 作者所在专业:自动化 作者所在班级: B08221 作者姓名:赵天娇 指导教师姓名:崔瑞雪 完成时间: 2010年12月1日

内容摘要 EDA技术是电子设计技术和电子制造技术的核心,目前,电子系统的EDA 技术正从主要着眼于数字逻辑向模拟电路和数模混合电路的方向发展。 本设计主要内容是数字逻辑电路——数字秒表,数字秒表在日常生活中有广泛的用途,秒表的逻辑结构较简单,它主要由显示译码器、十进制计数器、六进制计数器和报警器组成。四个10进制计数器:用来分别对百分之一秒、十分之一秒、秒和分进行计数;两个6进制计数器:用来分别对十秒和十分进行计数;显示译码器:完成对显示的控制。根据电路持点,用层次设计概念将此设计任务分成若干模块,规定每一模块的功能和各模块之间的接口,然后再将各模块合起来联试。 通过MAX+plusⅡ软件,对上述模块设计,仿真无误后,设计顶层文件,仿真无误后,下载到主芯片EPF10K10LC84-4中,按适配划分后的管脚定位,同相关功能块硬件电路接口连线,进行硬件实验。 EPF10K10LC84-4是Altera公司生产的FLEX10K系列可编程逻辑器件。主要采用了嵌入式阵列,容量高达百万门,为可重复配置的CMOS SRAM工艺,系统工作过程中可随时改变配置,有利于现场编程,完成秒表设计的修改于完善。 关键词 EDA、可编程逻辑器件、计数器、显示器

目录(字体?) 一、概述 (1) 二、实验目的 (1) 三、单元模块设计 (1) 1十进制计数器 (1) 2.六进制计数器 (2) 3.时间数据分时扫描模块 (3) 4.显示译码模块 (4) 5.报警电路模块 (6) 四、顶层文件原理图 (7) 五、硬件要求 (8) 六、实验连线 (8) 七、实验总结 (8) 八、心得体会 (9) 九、参考文献 (10)

基于单片机的计步器设计及实现模板

基于单片机的计步器设计及实现 摘要: 计步器是一种颇受欢迎的日常锻炼进度监控器,可以激励人们挑战自己,增强体质,帮助瘦身。早期设计利用加重的机械开关检测步伐,并带有一个简单的计数器。晃动这些装置时,可以听到有一个金属球来回滑动,或者一个摆锤左右摆动敲击挡块。 计步器功能可以根据计算人的运动情况来分析人体的健康状况。而人的运动情况可以通过很多特性来进行分析。与传统的机械式传感器不同,ADXL345是电容式三轴传感器,由它捕获人体运动时加速度信号,更加准确。信号通过低通滤波器滤波,由单片机内置A/D转换器对信号进行采样、A/D转换。软件采用自适应算法实现计步功能,减少误计数,更加精确。单片机STC89C51控制液晶显示计步状态。整机工作电流只有1-1.5mA,实现超低功耗。 关键字:计步器;加速度传感器;ADXL345;低功耗

Design and realization of pedometer-based microcontrollers Abstract:Pedometer is a popular daily exercise progress monitor, can motivate people to challenge themselves, enhance physical fitness, to help lose weight. Early designs used a weighted mechanical switch detects the pace, and with a simple counter. When shaking the device, you can hear a metal ball to slide back and forth, left and right, or a pendulum swinging percussion stopper. Pedometer function can calculate the movement of people to analyze the situation of human health. And the movement of people can be analyzed by many features. With the traditional mechanical sensors differ, ADXL345 three-axis sensor is a capacitive acceleration signal by its human motion capture, and more accurate. Signal through a low pass filter, the microcontroller built-in A / D converter for signal sampling, A / D conversion. Software uses an adaptive algorithm pedometer function, reduce error count is more accurate. STC89C51 SCM control LCD pedometer state. Machine operating current of only 1-1.5mA, ultra-low power consumption. Key Words: pedometer; Acceleration sensor; ADXL345; low power

数字电子钟课程设计报告-数电

华东交通大学理工学院课程设计报告书所属课程名称数字电子技术课程设计题目数字电子钟课程设计分院电信分院 专业班级10电信2班 学号20100210410201 学生姓名陈晓娟 指导教师徐涢基 20 12 年12 月18 日

目录 第1章课程设计内容及要求 (3) 第2章元器件清单及主要器件介绍 (5) 第3章原理设计和功能描述 (10) 第4章数字电子钟的实现 (15) 第5章实验心得 (17) 第6章参考文献 (18)

第1章课程设计内容及要求 1.1 数字钟简介 20世纪末,电子技术获得了飞速的发展。在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高、产品更新换代的节奏也越来越快。数字钟已成为人们日常生活中必不可少的生活日用品。广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点。 因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点,电路装置十分小巧,安装使用也方便而受广大消费的喜爱。 1.2 设计目的 1. 掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;

2. 进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; 3. 提高电路布局,布线及检查和排除故障的能力。 1.3 设计要求 1. 设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,且有校时功能的电子钟。 2. 用中小规模集成电路组成电子钟,并在实验箱上进行组 装、调试。 3. 画出框图和逻辑电路图、写出设计、实验总结报告。 4. 整点报时。在59分59秒时输出信号,音频持续1s,在结束时刻为整点。

EDA课程设计

课程设计说明书 课程:EDA技术基础 题目:数字钟的设计 闹钟与整点报时模块 学生姓名:XXX 学号201265110204 班级 :1203班 专业:电子信息与科学 指导教师:XXX 2014年12月20日 长沙理工大学课程设计任务书 物理与电子科学学院电子信息与科学专业1203班姓名王玲课程名称EDA技术基础 题目数字钟的设计

长沙理工大学课程设计成绩评定表

目录 1数字钟设计闹钟模块基本任务要求4 2设计思想4 3简述闹钟模块的输入与输出5 4分进程描述6 5仿真结果与分析7 6简述数字钟的设计总成果10 7总结11 参考文献13 代码附件13

基本任务要求:运用QuartusⅡ13.1软件平台,用VHDL语言描述并设计的闹钟模块满足可调闹钟时间,当时钟时间到达闹钟时间后会响闹铃,(由于实验室权限问题会以FPGA开发板上12个LED灯交替发光来表现);整点报时过程表现为整点的前十秒内响铃,(以FPGA开发板上一个LED灯交替发光来实现)。 设计思想:闹钟模块要以分频模块、计数器模块和译码显示模块为基础,将闹钟模块分为三个进程,一个进程用来实现调闹钟,一个进程来实现闹响闹钟(即实现LED灯交替发光),另一个进程来是实现整点报时。 (上面截图为数字钟整体编译后的RTL电路的闹钟模块) 简述闹钟模块图的输入与输出:上图中的输入粗黑实线为计数器模块输出的小时、分钟的高低位和秒钟的高位(都用四位的二进制表示);输出的粗黑实线为定的闹钟时间(包括小时和分钟),将送到译码显示模块显示闹钟时间,闹钟时间与时钟都在FPGA上的数码管显示,用二选一实现交替显示。clk和clk1都是经过分频器分出的不同频率的信号分别用于整点报时的闪灯脉冲与闹钟调时、闹响的闪灯脉冲。

计步器的工作原理和电路

随着社会的发展,人们越来越注重自己的健康,跑步成为一种方便而又有效的锻炼方式。但是如何知道自己跑了多少步,多远的路程?计步器可以帮助人们实时掌握锻炼情况。它的主要功能是检测步数,通过步数和步幅可计算行走的路程。步幅信息可通过行走固定的距离如20m 来计算或是直接输入,高级的计步器还可以计算人体消耗的热量。但这些计算的主要依据是步数的检测。下面介绍一种加速度传感器ADXL202在步数检测中的应用。 计步器原理 要实现检测步数首先要对人走路的姿态有一定了解。行走时,脚、腿、腰部,手臂都在运动,它们的运动都会产生相应的加速度,并且会在某点有一个峰值。从脚的加速度来检测步数是最准确的,但是考虑到携带的方便,我们选择利用腰部的运动来检测步数。如图1所示,行走时腰部有上下的垂直运动,每步开始时会有一个比较大的加速度,利用对加速度的峰值检测可以得到行走的步数。 图2是将计步器佩戴在腰间采集到的垂直加速度曲线图,从图上可以清楚地看出有四个峰值,代表行走了四步,说明利用腰部的垂直加速度来检测步数是可行的。 根据资料显示,人行走的垂直加速度在±1g之间(1g为9.8m/s即重力加速度),考虑到还有重力加速度的影响,可选择测量范围在±2g之间的加速度传感器ADXL202来实现计步器。ADXL202是美国AD公司的一种低功耗、二维加速度传感器,输出如图3所示占空比(T1/T2)与加速度成一定比例的数字信号,因此信号可以直接用单片机的计数器来测量,无需AD转换电路或是其它特殊电路。

硬件设计 计步器的整机原理框图如图4所示,ADXL202采集加速度信息并将数据送到单片机进行处理;单片机控制整个系统的工作并从数据中检测出步数送到LCD进行显示;外部控制按键进行开关机控制以及功能选择等。 本文不对电源转换、LCD显示等电路做详细介绍,重点介绍ADXL202芯片的电路设计。ADXL202可以输出X、Y两路信号,由于我们只测量垂直方向上的加速度,只用一路信号即可,需要注意的是,设计PCB时要摆放好芯片位置,保证使用时此路与水平面垂直。从图5可以看出ADXL202的电路设计并不复杂,在使用时我们要得到有用的信号需要设定它的采样频率和采样带宽。上述两个量是由电路图中的电阻Rset和电容Cx的取值所决定的。 采样频率过低,不能准确反应数据的变化情况;过高则引入很多无用信息,增加了系统运算量,需要根据实际情况选择合适的采样频率。根据资料显示,人行走的频率一般在110步/分钟(1.8Hz),跑步时的频率不会超过5Hz,选择100Hz的采样频率可以比较准确地反应加速度变化。1/T2即为数据的采样频率,计算方法为T2=RSET(Ω)/125MΩ。RSET的范围可从500kΩ~2MΩ,这里我们选择RSET=1.25MΩ,采样频率为100Hz。 滤波带宽定义为需要检测的最高频率, 由滤波电容Cx设定,带宽的设定会影响噪声的大小和分辨率。从附表中可以看出,带宽越小,噪声就越小,而分辨率会越高,减小滤波带宽对减小噪声和提高分辨率都是有利的。但是,图2的数据曲线中越尖的地方含有的高频分量就越多,滤波带宽减小,采集到的数据曲线就变光滑,峰值相应变小,这对我们进行峰值检测是不利的。因此我们折中取滤波带宽50Hz,根据公式F-3dB=1/(2π(32kΩ×C(x,y))计算,Cx选择0.10μF。

基于单片机的多功能计步器

目录 1.引言………………………1 1.1 选题的目的………………………1 1.2 选题的意义………………………2 1.3 国内外研究现状………………………2 2.系统基本原理及系统设计方案………………………3 2.1 多功能计步器的基本内容………………………3 2.2 传感器的选择………………………3 2.3 MCU 微处理器的选择………………………4 2.4 系统的总体设计………………………5 3.系统的硬件设计………………………6 3.1 微处理器电路模块………………………6 3.2 计步器传感器采集模块………………………7 3.3 数字温度传感器模块………………………9 3.4 显示模块………………………10 4.软件设计………………………11 4.1 软件主流程图………………………11 4.2 计步器算法的实现………………………12 5.硬件及软件测试………………………15 5.1 实物图展示………………………15 5.2 功能模块测试………………………16 5.3 软件测试………………………16 6.总结………………………17 参考文献………………………17 基于单片机多功能计步器的设计与实现 摘要:目前,人们可以依据这种计步器来得出人体是否是一种健康的状态,它是通过研究与分析人体 的运动的情况,但是人体的运动状态并不能进行简单的分析,计步器有着很多种的特性。三轴的加速度传 感器 ADXL345 归于电容式的三轴的传感器的一种,若它与以往的机械式的传感器比的话,它得到的人的 身体的运动的时候的加速度的信号会比传统的更加的准。当捕获到加速度的信号后,这些信号需要通过低 通的滤波器来进行滤波,进而进行对信号的 A/D 转换、信号的采样利用单片机的内部的结构中的 A/D

健身计步器的设计课程设计论文

健身计步器的设计 课程设计论文健身计步器的设计

沈阳工程学院课程设计(论文) 中文摘要 改革开放三十年来,随着社会的不断进步,人们的生活水平也不断的提高了,许多人把健身当做每天的一门必修的功课,在诸多的健身方法中,跑步便成了他们最有效,最简单的运动方法,计步器也成了计量跑步时间、步数和消耗卡路里的最简易、有效的工具。 因此我设计了一款健身计步器,他的工作工程大致如下,首先传感器将外界的信号转换成具有一定振幅的波形,经过调理电路将波形过滤并且放大,再见过斯密特触发器进行整形,这时输出的波形便是具有可以用来计数的脉冲信号,将其输入由四个十进制组成的计数显示器,在数码管中便可以显示最大为9999的步数。 同时将施密特触发器输出的波形用两个五进制连接成的二十五进制的分频器然后再接入计数器,便可以显示健身过程中所消耗的卡路里数。 有了计步显示和计量卡路里的装置的同时,我又在在计数器的百位向千位进制的脉冲引入一个由555组成的单稳态触发器和一个由555组成的多谐振荡器构成的定时电路中,在电路末端接入发声片,用以提醒健身者所走的步,每1000步发声片响5秒。 我在计步器上同时设计了计时电路,它首先由555组成的多谐振荡电路产生的1000Hz 频率信号,经过三个十进制计数器组成的千分频器,将频率信号变为1Hz的秒脉冲,再将其六十分频便可以产生分钟信号,经过译码显示,便可以记录健身者的健身时间。 在我的设计中,我将整个系统划分为若干个功能模块,传感器接受震荡反映产生波形模块、调理整形模块、计算步数模块、计算卡路里模块、1000步提醒模块、1kHz频率产生模块、分频模块和分钟显示模块、计数复位模块等组成。每个功能块还对应的相关的电路图,而且还详细的说明了电路图的组成元件,和各个元件的名称、功能、和运行原理,各个部件在此路中的作用,怎么实现所须的功能,合考虑了各方面的因素,选择最适合的器件。在较充分的原理和仿真实验的基础上,经过反复的调试与修改,最终达到了设计项目要求。 关键词电力系统,计数器,译码器,显示器,555定时器 目录

数字电子时钟课程设计总结报告

《数字逻辑电路设计》课程设计 总结报告 题目:数字电子钟设计 指导教师: 设计人员: (学号): 班级:

日期:2018年12月

一.设计任务书 任务:数字电子钟设计 基本设计要求:仿真实现数字电子钟 1.要求能显示“时”“分”“秒” 2.时24小时,分60分钟,秒60。 3.能够校时,校分 电路在实验箱上实现 二.设计框图及整机概述 设计框图: 概述:数字电子时钟电路系统由秒信号发生器、校分校时电路、“时、分、秒”计数器和“时、分、秒”显示器组成。秒信号发生器将秒信号送入秒计时器,秒计时器为六十进制计数器,每计六十个数便发送分脉冲信号给分计数器,分计数器也为六十进制计数器,每计六十个数便发送时脉冲信号给时计数器,时计数器是二十四进制计数器。“时、分、秒”显示器将计数器输

出的状态显示出来。 三.各单元电路的设计方案及原理说明 1.六十进制计数器 计数器是对cp脉冲进行计数的时序逻辑电路。“分”和“秒” 的计数由六十进制计数器实现,74LS161为16进制计数器, 两片74LS161EP和ET恒为1,均工作在计数状态,当分个位 和秒个位计数器计到9(1001)时,CLOR端为高电平,经反 相器后使时位CLK端为低电平。当下一个计数输入脉冲到达后,个位记成0(0000),此时CLOR端跳回低电平,时位计数1。 计数器从0开始计数,当计入60个脉冲时,经与非门产生低 电平,立即将两片74LS161同时置零,得到60进制计数器。 2.二十四进制计数器 时的计数由二十四进制计数器实现,当计入24个脉冲的

时候,经与非门产生的低电平信号即将两片74LS161同时置零,得到二十四进制计数器。 3.显示电路 计数器输出的是8421BCD码,需译码器将其转为阿拉伯数字。 4.校时电路 利用校时电路截断分十位和时十位的直接计数通路,当校时电路中的开关截断时,其中的与非门一端接高电平,另一端接秒/分十位的进位输出端,若秒/分十位的进位输出端输出的是低电平,则分/时个位的CLK有低电平的信号输入,此时得到

EDA数字秒表设计

《EDA技术与应用》 课程设计报告 报告题目:数字秒表设计作者所在系部:电子工程系作者所在专业:电子信息工程作者所在班级: 作者姓名: 指导教师: 完成时间:2017-6-10

容摘要 在科技高度发展的今天,集成电路和计算机应用得到了高速发展。尤其是计算机应用的发展。它在人们日常生活已逐渐崭露头角。大多数电子产品多是由计算机电路组成,如:手机、mp3等。而且将来的不久他们的身影将会更频繁的出现在我们身边。各种家用电器多会实现微电脑技术。电脑各部分在工作时多是一时间为基准的。本报告就是基于计算机电路的时钟脉冲信号、状态控制等原理,运用EDA技术及VHDL语言设计出的数字秒表。秒表在很多领域充当一个重要的角色。在各种比赛中对秒表的精确度要求很高,尤其是一些科学实验,他们对时间精确度达到了几纳秒级别。 利用VHDL语言设计基于计算机电路中时钟脉冲原理的数字秒表。该数字秒表能对0秒~59分59.99秒围进行计时,显示最长时间是59分59秒,超过该时间能够进行报警。计时精度达到10ms。设计了复位开关和启停开关。复位开关可以在任何情况下使用,使用以后计时器清零,并做好下一次计时的准备。 关键词:EDA技术、VHDL语言、分频器、计数器、数码管、蜂鸣器

目录 一概述 (1) 二方案设计与论证 (1) 三单元电路设计 (2) ⒊1分频器的设计 (2) ⒊2计时控制模块的设计 (3) ⒊3计时模块的设计 (4) ⒊⒊1十进制计数器的设计 (4) ⒊⒊2六进制计数器的设计 (5) ⒊⒊3计数器的设计 (6) ⒊4显示模块的设计 (8) ⒊⒋1选择器的设计 (8) ⒊⒋2七段译码器的设计 (9) ⒊5报警模块设计 (10) ⒊6顶层文件的设计 (11) 四器件编程与下载 (11) 五性能测试与分析 (12) ⒌1分频器模块的仿真 (12) ⒌2计时控制模块的仿真 (12)

数字计步器

课程设计(论文) 题目名称数字运动计步器 课程名称电子技术课程设计 学生姓名 学号 系、专业 指导教师 2015年6 月5日

邵阳学院课程设计(论文)评阅表 学生姓名学号 系专业班级 题目名称数字运动计步器课程名称单片机原理及应用 一、学生自我总结 学生签名:年月日二、指导教师评定 评分项目平时成绩论文答辩综合成绩 权重30 40 30 单项成绩 指导教师评语: 指导教师(签名):年月日 注:1、本表是学生课程设计(论文)成绩评定的依据,装订在设计说明书(或论文)的“任务书”页后面; 2、表中的“评分项目”及“权重”根据各系的考核细则和评分标准确定。

目录 摘要 ............................................. .. (6) 1 引言............................................ . (7) 1.1 设计目的 (7) 1.2 基本功能 (7) 2 方案设计.......................................... .. (8) 2.1 方案一 (8) 2.2 方案二 (8) 3 系统方框图.... .. (9) 3.1 总方框图 (9) 3.2 方框图介绍 (9) 4 电路设计.................................. (10) 4.1 74LS390引脚功能介绍 (10) 4.2 CC4017逻辑功能介绍...................... (10) 4.3 74LS390功能表 (11) 4.4 74LS390推荐工作条件及电性能 (11) 4.5 74LS48和7段数码管介绍 (12) 4.6 计数模块 (13) 4.7 显示模块 (14) 4.8 清零电路 (14) 4.9 设计仿真图 (15) 4.10 工作原理 (15) 5总结 (16) 5.1 成品评测 (16) 5.2 制作体会 (17) 5.3 制作回顾 (17) 参考文献........................................ .. (18) 致谢......................................... .. (19)

单片机电子时钟课程设计设计报告

单片机电子时钟设计 一、作品功能介绍 该作品是个性化电子钟设计,技术上主要用单片机(AT89S52)主控,6位LED数码显示,分别显示“小时:分钟:秒”。该作品主要用于24小时计时显示,能整时报时,能作为秒表使用,能定时闹铃1分钟。 功能介绍: (1)上电以后自动进入计时状态,起始于00:00:00。 (2)设计键盘调整时间,完成时间设计,并设置闹钟。 (3)定时时间为1/100秒,可采用定时器实现。 (4)采用LED数码管显示,时、分,秒采用数字显示。 (5)采用24小时制,具有方便的时间调校功能。 (6)具有时钟和秒表的切换功能。 使用方法: 开机后时钟在00:00:00起开始计时。 (1)长按P3.2进入调分状态:分单元闪烁,按P3.2加1,按P3.3减1.再长按P3.2进入时调整状态,时单元闪烁,加减调整同调分.按长按退出调整状态。 (2)(2)按P3.3进入设定闹时状态: 12:00: ,可进行分设定,按P3.4分加1,再按P3.2为时调整,按P3.4时加1,按P3.3调闹钟结束.在闹铃时可按P3.2停闹,不按闹铃1分钟。 (3)按下P3.4进入秒表状态:再按P3.4秒表又启动,按P3.4暂停,再按P3.4秒表清零,按P3.4退出秒表回到时钟状态。 二、电路原理图 如原理图所示,硬件系统主要由单片机最小应用系统、LED数码管显示模块、电源模块、晶振模块、按键模块等组成。

电子时钟原理图 各个模块设计 1.单片机系统 AT89S52 AT89S52概述:是一款非常适合单片机初学者学习的单片机, 它完全兼容传统的8051,8031的指令系统,他的运行速度 要比8051快最高支持达33MHz的晶体震荡器,在此系统中 使用12MHz的晶振。 AT89S52具有以下标准功能: 8k字节Flash,256字节 RAM,32 位I/O 口线,看门狗定时器,2 个数据指针,三 个16 位定时器/计数器,一个6向量2级中断结构,全双 工串行口,片内晶振及时钟电路。另外,AT89S52 可降至 0Hz 静态逻辑操作,支持2种软件可选择节电模式。空闲模 式下,CPU停止工作,允许RAM、定时器/计数器、串口、中 断继续工作。掉电保护方式下,RAM内容被保存,振荡器被

相关文档
最新文档