硬件电路设计说明书范文

硬件电路设计说明书范文
硬件电路设计说明书范文

项目名称:E-DMR数字对讲机芯片

文件编号:HR3.002.8008.--

项目编号:HR3.002 秘密

硬件电路设计说明书V3

文档版本号3.0

编 写 人:赵 华

编写时间:2009-9-17

部 门:系统部

审 核 人:陈沪东、

审核时间:

修订历史(Revision History)

编号修订内容描述修订日期修订后版本号修订人批准人1 建立硬件电路设计说明书 2009-9-17 1.0

赵华陈沪东2 修改音频设计,增加FM 2009-12-3 2.0

赵华

3 修改AD/DA以及电源设计,去除FM,

修改文档格式

2010-3-15 3.0 赵华

目 录

1.引言 (1)

1.1.编写目的 (1)

1.2.产品背景 (1)

1.3.定义 (1)

1.4.参考资料 (1)

2.硬件系统概述 (3)

2.1.功能需求 (3)

2.2.总体方案 (3)

2.3.系统接口 (4)

3.硬件系统详细设计 (6)

3.1.处理板详细设计 (6)

3.1.1. 处理板指标 (6)

3.1.2. 处理板功能模块说明 (6)

3.1.3. 关键元器件 (11)

3.2.射频板详细设计 (12)

3.2.1. 射频板指标: (12)

3.2.2. 射频板功能模块说明 (12)

3.2.3. 关键元器件 (12)

4.开发环境 (13)

5.附录 (14)

1.引言

1.1.编写目的

本文档是E-DMR开发板V3.0的硬件设计说明文档,它详细描述了整个硬件模块的设计原理,其主要目的是为E-DMR开发板的原理图设计提供依据,并作为PCB设计、软件驱动设计和上层应用软件设计的参考和设计指导。

1.2.产品背景

无线对讲机由于具有即时通信、经济实用、成本低廉、使用方便以及无需通信费等优点,因此广泛应用在民用、紧急事件处理等方面。尤其在紧急事件处理以及没有手机网络覆盖的情况,对讲机更加显示出它的不可取代的地位。如今,模拟对讲机仍然占据绝大部分的市场,但是由于数字通信可以提供更丰富的业务种类,更好的业务质量、保密特性和连接性,以及更高的频谱效率,因此数字对讲机的研究、生产和使用是与时俱进的,符合信息化、数字化发展的必然趋势。

DMR(Digital Mobile Radio,数字移动无线电)协议是欧洲电信标准协会(ETSI)于2004年所提出的一种新型的数字集群通信协议,具有很好的发展前途。

本系统就是基于DMR协议的开发板,主要是满足HR3.002项目组的芯片代码验证,同时也能实现开发板的数字对讲和短信收发等功能。

1.3.定义

HR:HongRui(宏睿)

DMR:Digital Mobile Radio(数字移动无线电)

ARM:Advanced RISC Machine(进阶精简指令集机器)

FPGA:Field Programmable Gate Array(元件可编程逻辑门阵列)

1.4.参考资料

Datasheet: XC3SD3400A FPGA

ARM

Datasheet: LPC2368

ADC

Datasheet: AD9218

DAC

Datasheet: AD9763

Datasheet: CMX638 声码器

Datasheet: AMBE3000 声码器

Xilinx配置芯片

Datasheet: XCF16P

Datasheet: TPS54286 开关电源Datasheet: TPS73618 线性电源Datasheet: REG113NA-5 线性电源

USB转串Datasheet: CP2102

GPS模块Datasheet: LEA-5S

Datasheet: DS18B20 温度传感器Datasheet: ADXL323 加速度传感器Datasheet: LM128128CBY LCD Datasheet: ALC5621 Codec Datasheet: 25LC512

EEPROM Datasheet: DS3904 数调电阻Datasheet: GT21L16S2Y 标准点阵汉字字库芯片

2.硬件系统概述

2.1.功能需求

?芯片测试功能

提供芯片MS功能为基础的FPGA资源,提供LED指示、测试按钮、硬件测试IO和拨码开关,提供配置芯片,提供软件测试IO,提供参数和数据通道。

?语音通道功能

提供CMX638和AMBE3000的两套独立语言编解码方案,提供MIC、Line IN、耳机、喇叭通道和接口,提供提示音接口。

?人机交互功能

提供24个按键的标准键盘,提供128×128点阵STN屏,提供音量、频道选择按钮,提供GB2312标准字库。

?存储功能

提供512kbit的E2PROM存储空间供本机信息的存储。

?信息采集功能

提供电量信息,提供信号强度指示,提供板子加速度指示,提供本机工作温度指示,提供RTC时钟。

?电源管理功能

采用7.2V供电,合理分布产生5V、3.3V、1.8V、1.2V电压。

?射频通道功能

射频通道符合射频指标要求,符合TDMA工作条件,符合芯片射频多种对接模式。

?辅助功能

提供振动提示,提供GPS接口,提供USB转串口接口,提供PC机专用设置软件。

2.2.总体方案

本硬件平台是为HR_C5000芯片提供一个开发平台,也为以后的数字对讲机整机方案做一个技术铺垫。本方案设计中,采用FPGA+AD/DA+语音Codec构成HR_C5000的原型,外围配备的电路以对讲机厂家较常采用的方案作为参照,从而保证在HR_C5000 经过MPW形成样片后,能够直接采用本开发平台的方案实现给用户的最终解决方案。

DMR开发板的总体硬件系统构架按照功能分为以下几个部分:电源模块、信号处理模块、音频编解码模块、语音Codec模块、人机界面和传感器、射频模块和GPS模块等。其中信号处理模块使用FPGA实现调制解调;音频编解码模块采用CMX638和AMBE3000两个方案;语音Codec采用

ALC5621;人机界面使用ARM7进行管理,处理按键、旋钮、数据存储及界面的显示等;传感器组识别电压、温度、加速度、信号强度等参数。其总体硬件架构图如图 2.1所示:

图 2.1:总体硬件构架

2.3.系统接口

系统对外接口如表 2-1所示:

表 2-1:系统接口

接口项目描述原理图位号接口形式

音频插座音频Line IN输入J501 带常开开关3.5mm音频插座

音频插座耳机输出J502 带常开开关3.5mm音频插座

喇叭插座喇叭输出LS501 1X2,2.54mm间距弯插针

测试地地插针P102,P103,P104 1X2,2.54mm间距插针

振动马达振动马达的接口P205 1.27mm间距,两针弯连接座

USB

Mini USB 连接PC的Mini USB接口P206 Mini

ARM JTAG ARM的JTAG口P201 2X10,2.54mm间距插针FPGA JTAG FPGA的JTAG口P301 1X6,2.54mm间距排针

FPGA TEST FPGA的测试接口P302 2X12,2.54mm间距排针

SMA GPS天线的接口S226 SMA直插

RF 连接射频板的接口P204 2X16,2.54mm间距弯排母

DC IN 7.2V电源输入P101 标准适配器母头

3.硬件系统详细设计

3.1.处理板详细设计

3.1.1.处理板指标

根据E-DMR项目的需求,处理板的指标要求如表 3-1所示:

表 3-1:处理板指标

单板功耗<4W

工作温度 -20~70℃

存储温度 -30~80℃

单板尺寸 100mm×160mm

发送信号信噪比70db

信号发送功率-15dbm

DA速率 38.4KHz(基带)/4.9152MHz(中频)

信号接收功率-51~-15dbm

AD速率 38.4KHz(基带)/ 4.9152MHz(中频) FPGA工作时钟9.8304 MHz

CPU工作时钟 12MHz晶振,48MHz处理时钟

操作系统μcOS II

操作界面菜单操作

按键指标 24个标准按钮,要求按键次数>2万次音量旋钮带开关电位器,电压范围0~3.3V

频率旋钮 16位Gray码编码器开关

USB接口标准Mini USB接口,符合USB2.0标准视频显示 128×128点阵,刷新率>25Hz

音频输入MIC差分输入,MICBIAS=3.3V Line IN输入

音频输出耳机输出,P=45mW 喇叭输出,P=1W

音频压缩 RACWAL

&

AMBE+2标准,码率为3.6Kbps

电压监控要求四格电量指示,精度指标为<0.5V

温度监控精度<0.1℃

加速度监控范围±3g,300mV/g,(g=9.8N/kg)

3.1.2.处理板功能模块说明

3.1.2.1. 信号处理模块

根据E-DMR项目组代码所需要的资源,选用了Xilinx的Spartan-3A 3400 DSP,型号为XC3SD3400A, XC3SD3400A具有23872个Slices,126个18k的Block RAM,封装形式为FG676,最多469个GPIO。

配置芯片选用了Xilinx的Platform Flash——XCF16P,该芯片的容量为16Mbit,支持串行方式和并行方式,本系统当中我们选用了主串行的方式。

FPGA与ARM之间的接口包括一组SSP,一组SPI,一组串口以及五个中断。其中SSP用于参数的配置和数据交换,SPI用于语音的传输,比如开机提示音。

FPGA与ADC之间的接口包括两路10bit数据,两个时钟信号,一个休眠信号,其中ADC的时钟由FPGA的PLL供给。

FPGA与DAC之间的接口包括两路10bit数据,四个时钟信号,一个休眠信号,其中DAC的时钟由FPGA的PLL供给。

FPGA与Codec的接口包括一组I2S总线,其中I2S总线的主时钟由FPGA的PLL供给。

FPGA与声码器的接口包括两组,一组与CMX638相连,另一组与AMBE3000相连,其中与CMX638的接口包括C-BUS、SPI以及其他一些信号,与AMBE3000的接口包括McBSP、SPI以及其他一些信号,其中AMBE3000的时钟由FPGA的PLL供给。

另外从FPGA的I/O引出一组20bit的测试脚,8bit的LED指示灯以及8bit拨码开关。

3.1.2.2. 人机界面和传感器模块

处理器采用了NXP公司的LPC2368。它是基于一个支持实时仿真和嵌入式跟踪的16/32位ARM7处理器,并带有512kB嵌入的高速Flash存储器和32kB静态RAM;带有1个6路10位的A/D转换器;带有1个10位的D/A转换器;带有多个串行接口,包括4个标准UART、4个高速I2C总线(400kbit/s)、SPI和具有缓冲作用和数据长度可变功能的SSP。

处理器的作用是系统的控制以及人机界面的实现,是操作系统的运行平台,操作系统选用嵌入式系统中较为常用的μcOS系统。主要功能有:液晶的显示控制,键盘的输入采集处理,温度、电量、加速度、信号强度的信号采集处理,字库及外挂E2PROM的控制处理,频道及音量的控制,GPS导航模块的信号处理,FPGA接口的实现,与PC机的通信以及对射频模块的控制等。

液晶采用了Topway公司的LM128128CBY液晶屏,该液晶屏是一款128*128点阵,黄绿底黑字的带背光的STN液晶屏,外形尺寸为35.6mm×38.5mm×2mm(宽×高×厚),点大小为0.21mm*0.21mm,支持黄绿色背光,背光工作电压为3.3V,背光工作电流为40mA。该液晶屏采用多种数据接口,本系统采用的是3线SPI接口方式。由于该液晶屏本身不带中文字库,因此本系统外挂了集通公司的标准点阵汉字字库芯片GT21L16S2Y,采用SPI口与CPU相连。该芯片是一款内含11X12点阵和15X16点阵的汉字库芯片,支持GB2312国标简体汉字(含有国家信标委合法授权)、ASCII 字符及GB2312与Unicode编码互转表。该字库芯片内含GT快捷拼音输入法码本,配合集通公司的输入法程序,实现数字小键盘IT产品的汉字快捷输入。

键盘采用普通的3×8按键阵列,共24个按键,其中PTT等三个在侧面的按键使用侧向按键。键盘的输入采集方式为中断和扫描,3列中断,8行GPIO。

参数的存储主要使用外挂的E2-PROM,E2-PROM使用Micro Chip公司的25LC512,容量为512kbit,

与ARM的接口为SPI。

频道旋钮使用16位Gray码编码器开关,每一位对应一个频道,音量旋钮使用带开关的电位器,开关用于开发板的电源开关,电位器对3.3V分压,通过ARM自带的ADC采样后,将电压值对饮的音量写到Codec的音量控制寄存器中。

本系统的对外接口采用串口的方式,为了减小体积及增加接口的通用性,采用了USB-UART的桥接电路,芯片采用Silicon公司的CP210x,该电路的集成度高,内置USB2.0全速功能控制器、USB 收发器、晶体振荡器、EEPROM及异步串行数据总线(UART),支持调制解调器全功能信号,无需任何外部的USB器件。功能强大,采用MLP-28封装,尺寸仅为5mm×5mm,占用空间非常小。

GPS模块选用ublox公司的LEA-4T GPS模块,该模块可直接焊在PCB上,与系统形成整机。该模块自带FLASH,具有卫星的秒脉冲输出,时间精度为15ns,还具有非常好的室内检测效果。

传感器组主要包括温度、电压、加速度和信号强度传感器。温度测量采用DS18B20传感器,精度为0.1℃,采用1-wire方式和ARM相连。电压测量用于监测电池的电量,采用ARM的ADC对电池测量电压,根据不同的电压值将电池电量分为四档,当电压(电量)低于某个值时报警,提示需要充电。加速度传感器采用ADXL323,具有±3g的测量范围,精度为300mV/g。信号强度传感器用于测量射频板的信号强度,使用ARM的ADC对射频板的信号强度脚进行电压的测量,根据不同的电压值得到信号强度。

3.1.2.3. AD/DA转换模块

AD/DA的作用主要是将FPGA调制解调的信号发送给射频板或将射频板的信号接收并送与FPGA 进行调制解调。

AD转换器采用ADI公司的AD9218。它是一款双核、3.3 V、10位的ADC,集成了两个高性能采样保持放大器和一个基准电压源。它采用多级差分流水线架构,内置输出纠错逻辑,在最高数据速率时可提供10位精度,并保证在整个工作温度范围内无失码。

DA转换器采用ADI公司的AD9763。它是一个双端口、高速、双通道10位CMOS DAC,每款器件均集成两个高品质TxDAC+?内核、一个基准电压源和数字接口电路,采用48引脚小型LQFP封装。它提供出色的交流和直流性能,同时支持最高125 MSPS的更新速率。

3.1.2.

4. 声码器模块

方案1. CMX638:

CMX638是一款高集成,高性能全双工语音编解码器,采用鲁棒的先进低复杂性波形插入技术,提供超低位速率下长话级音质性能,且具有FEC(前向纠错)、SDD(软决策解码)、DTX(非连续发送检测)、V AD(语音激活检测)、CNG(舒适噪声发生)、STD和DTMF信号检测功能。因此,该语音编解码器可广泛用于语音存储和回放、V oIP、数字PMR/LMR、再生数字语音中继等领域。CMX638的内部结构包括模拟和数字两部分,当端口CSEL输入低电平时,即选择使用外部语音解码器(Codec),可通

过串行接口SSP 与外部器件交换数据,编码器和解码器选择片外Codec的数据通道,端口EEC和REC 输出分别使能和复位片外的Codec;当CSEL输入高电平时,CMX638选用内部CODEC模块,该模块包括输入/输出通道的可编程增益放大器(PGA),16位PCM A/D和D/A转换器以及通带频率为4 kHz的低通滤波器,可有效实现模拟信号转换成数字信号及数字信号转换成模拟信号的过程。主机通过控制总线C-BUS接口配置内部寄存器,实现不同功能;端口SYNC使主机与CMX638同步;语音压缩编码器将源信号压缩成低比特率的数据帧,解码器把数据帧解压缩,恢复源信号;如果使用FEC 功能,开关则选择前向纠错编码器和解码器,两者加入到数据的压缩和解压缩过程中:STD/DTMF 管理模块提供语音信号的特殊处理功能,实现单音或双音检测,提高语音压缩和解压质量。在本系统中采用外置Codec的方案。

方案2. AMBE3000:

AMBE3000是一款高性能的多速率语音编解码芯片,其压缩后的数据速率在2.0~9.6kps范围内可调,以适应不同信道速率的需要;另外,对于不同误码率的信道,AMBE3000的话音数据/纠错数据配置也可以进行选择:当信道误码率较高,可适当提高纠错码的速率(降低话音数据速率);当信道误码率不太高时,可适当提高话音数据/纠错数据配置比,以得到最佳的语音效果。

AMBE3000与Codec、MCU有多种连接方式,比如SPI、McBSP、I2C、并口等,在本系统中Codec 方式采用SPI接口,Packet方式采用McBSP接口。

3.1.2.5. 语音Codec模块

由于声码器是将数字语音信号进行编解码,而声音都是模拟信号,因此还需要将语音数字化。本系统使用Codec将语音数字化,Codec选用了Realtek公司的ALC5621,ALC5621是一款带有多个输入输出端口的高集成语音Codec,并集成了1W的A/B类和D类音频功放,采用I2S接口,非常适用于移动设备。

3.1.2.6. 复位及时钟处理单元

FPGA与ARM由专门的按键进行复位,其余芯片通过FPGA或者ARM进行复位。其中,FPGA 还可以通过ARM进行复位,具体的复位方式及衍生关系如图 3.1所示:

根据E-DMR项目的需要,FPGA时钟使用9.8304MHz,与其相关的芯片时钟全部由FPGA的PLL 供给,ARM和CMX638的时钟使用12MHz。具体的系统时钟及衍生关系如图 3.2所示:

图 3.1:系统复位及衍生关系

图 3.2:系统时钟及衍生关系

3.1.2.7. 电源模块

电源保护及开关

电源保护主要从三个方面考虑:过压、过流和倒接。

过压保护采用TVS管串接到地,TVS管选用VISHAY公司的SMAJ7.5A TVS管,它的标称电压为7.5V,导通电压为8.33V~9.21V,因为本系统用的电源电压为7.2V,采用锂电池供电时还有一个电压的波动范围(一般充满电时可能达到8V),因此选用这个型号还是比较合适的。

过流保护采用了最常规的保险丝方式,保险丝选用自恢复式保险丝,俗称万次保险丝。本系统选用工作电压8V,熔断电流2A的保险丝。保险丝的作用主要不是防止工作电流过大,而是防止短路。

防倒接保护采用了反接二极管的方式,二极管的型号选用1SR154-400(也可以选用参数接近的二极管)。电源正接时,二极管不导通,系统能正常工作,当电源反接时,二极管导通,电流直接经过二极管而不经过系统,起到保护的作用。

电源开关采用P-MOS实现制输入电源的通断,使用音量开关(带开关电位器)开机或关机。开机时,MOS管导通,所有芯片上电;关机时,CPU去控制P-MOS管的关断,当所有需要的数据宣布保存以后,CPU再让MOS管关断,实现安全关机。

电平转换

本系统的供电电压为7.2V,需要使用的电压有1.2V、1.8V、3.3V,5V。处理板所有芯片在最坏情况下功耗需求如表 3-2所示:

表 3-2:最坏情况下的功耗需求

序号器件名称QT. 1.2V 1.8V 3.3 5V

1. XC3SD3400 1 325mA 165mA (静态)

2. LPC2368 1 125mA (静态)

3. AD9218 1 183mA

115mA

4.AD9763 1

5. CMX638 1 60mA 11.3mA

6. AMBE3000 1 90mA 42mA

35mA+1300mW

1

7.ALC5621

15mA

8.25LC512 1

1

12mA

9.GT21L16S2Y

70mA

10.LEA-4T 1

11. XCF16P 1 10mA 18mA

1

1.5mA

12.DS18B20

1

1.8uA

13.ADXL323

3mA

14.DS3904 1

40mA

15.LM128128CMY

1

20mA

16.IM811T 1

200mA

17.振动马达 1

24mA

18.晶振 2

SUM 19 325mA 160mA 1080mA

备注:ALC5621中的1300mW为内置音频功放的最大功率

根据前面的功耗分析,采用以下几种电平转换芯片:TPS54286、TPS73618和REG113NA-5。其中TPS54286为DC-DC,双路输出,最大输出电流为2A,在本系统中设置输出为1.2V和3.3V,1.2V 用于FPGA的核供电,3.3V用于整版供电。TPS73618和REG113NA-5为LDO,输出电压分别为1.8V 和5.0V,最大输出电流为400mA,1.8V用于FPGA配置芯片和两片声码器的核供电,5.0V用于射频板的供电,其中TPS73618的输入电压选用3.3V。

上电顺序需求

由于AMBE300的核电压1.8V和接口电压3.3V有上电顺序要求,故电源设计的时候3.3V的启动要早于1.8V,否则音频的编解码可能会出错。

3.1.3.关键元器件

表 3-3:关键器件清单

序号 器件名称 描述 封装 数量 单价 总价 1TPS54286 电平转换TSSOP14 1

2TPS73618 电平转换SOT23-5 1

3REG113NA-5 电平转换SOT23-5 1

CPU LQFP100 1 4LPC2368 ARM7

525LC512 串行E2PROM SO-8 1 6GT21L16S2Y 字库芯片SO-8 1 7LM128128CBY液晶屏 1 8CP2102 USB转UART QFN28 1

9LEA-4T GPS模块 1 10DS18B20 温度传感器To-92 1 11DS3904 数字变阻器UMAX-8 1

12ADXL323 加速度传感器CP-16 1 13CMX638 音频编解码LQFP48 1

14ALC5621 语音Codec QFN-32 1

15AMBE3000 音频编解码TQFP-128 1

16AD9218 ADC ST-48 1

17AD9763 DAC ST-48 1

18XC3SD3400A FPGA FG676 1

19XCF16P FPGA配置芯片VO48 1 3.2.射频板详细设计

3.2.1.射频板指标:

3.2.2.射频板功能模块说明

3.2.3.关键元器件

4.开发环境 TBD

5.附录 TBD

硬件电路设计基础知识

硬件电子电路基础

第一章半导体器件 §1-1 半导体基础知识 一、什么是半导体 半导体就是导电能力介于导体和绝缘体之间的物质。(导电能力即电导率)(如:硅Si 锗Ge等+4价元素以及化合物)

二、半导体的导电特性 本征半导体――纯净、晶体结构完整的半导体称为本征半导体。 硅和锗的共价键结构。(略) 1、半导体的导电率会在外界因素作用下发生变化 ?掺杂──管子 ?温度──热敏元件 ?光照──光敏元件等 2、半导体中的两种载流子──自由电子和空穴 ?自由电子──受束缚的电子(-) ?空穴──电子跳走以后留下的坑(+) 三、杂质半导体──N型、P型 (前讲)掺杂可以显著地改变半导体的导电特性,从而制造出杂质半导体。 ?N型半导体(自由电子多) 掺杂为+5价元素。如:磷;砷P──+5价使自由电子大大增加原理:Si──+4价P与Si形成共价键后多余了一个电子。 载流子组成: o本征激发的空穴和自由电子──数量少。 o掺杂后由P提供的自由电子──数量多。 o空穴──少子 o自由电子──多子 ?P型半导体(空穴多) 掺杂为+3价元素。如:硼;铝使空穴大大增加 原理:Si──+4价B与Si形成共价键后多余了一个空穴。 B──+3价 载流子组成:

o本征激发的空穴和自由电子──数量少。 o掺杂后由B提供的空穴──数量多。 o空穴──多子 o自由电子──少子 结论:N型半导体中的多数载流子为自由电子; P型半导体中的多数载流子为空穴。 §1-2 PN结 一、PN结的基本原理 1、什么是PN结 将一块P型半导体和一块N型半导体紧密第结合在一起时,交界面两侧的那部分区域。 2、PN结的结构 分界面上的情况: P区:空穴多 N区:自由电子多 扩散运动: 多的往少的那去,并被复合掉。留下了正、负离子。 (正、负离子不能移动) 留下了一个正、负离子区──耗尽区。 由正、负离子区形成了一个内建电场(即势垒高度)。 方向:N--> P 大小:与材料和温度有关。(很小,约零点几伏)

硬件电路设计过程经验分享 (1)

献给那些刚开始或即将开始设计硬件电路的人。时光飞逝,离俺最初画第一块电路已有3年。刚刚开始接触电路板的时候,与你一样,俺充满了疑惑同时又带着些兴奋。在网上许多关于硬件电路的经验、知识让人目不暇接。像信号完整性,EMI,PS设计准会把你搞晕。别急,一切要慢慢来。 1)总体思路。 设计硬件电路,大的框架和架构要搞清楚,但要做到这一点还真不容易。有些大框架也许自己的老板、老师已经想好,自己只是把思路具体实现;但也有些要自己设计框架的,那就要搞清楚要实现什么功能,然后找找有否能实现同样或相似功能的参考电路板(要懂得尽量利用他人的成果,越是有经验的工程师越会懂得借鉴他人的成果)。 2)理解电路。 如果你找到了的参考设计,那么恭喜你,你可以节约很多时间了(包括前期设计和后期调试)。马上就copy?NO,还是先看懂理解了再说,一方面能提高我们的电路理解能力,而且能避免设计中的错误。 3)没有找到参考设计? 没关系。先确定大IC芯片,找datasheet,看其关键参数是否符合自己的要求,哪些才是自己需要的关键参数,以及能否看懂这些关键参数,都是硬件工程师的能力的体现,这也需要长期地慢慢地积累。这期间,要善于提问,因为自己不懂的东西,别人往往一句话就能点醒你,尤其是硬件设计。 4)硬件电路设计主要是三个部分,原理图,pcb,物料清单(BOM)表。 原理图设计就是将前面的思路转化为电路原理图。它很像我们教科书上的电路图。

pcb涉及到实际的电路板,它根据原理图转化而来的网表(网表是沟通原理图和pcb之间的桥梁),而将具体的元器件的封装放置(布局)在电路板上,然后根据飞线(也叫预拉线)连接其电信号(布线)。完成了pcb布局布线后,要用到哪些元器件应该有所归纳,所以我们将用到BOM表。 5)用什么工具? Protel,也就是altimuml容易上手,在国内也比较流行,应付一般的工作已经足够,适合初入门的设计者使用。 6)to be continued...... 其实无论用简单的protel或者复杂的cadence工具,硬件设计大环节是一样的(protel上的操作类似windwos,是post-command型的;而cadence的产品concept&allegro是pre-command型的,用惯了protel,突然转向cadence的工具,会不习惯就是这个原因)。设计大环节都要有1)原理图设计。2)pcb设计。3)制作BOM 表。现在简要谈一下设计流程(步骤): 1)原理图库建立。要将一个新元件摆放在原理图上,我们必须得建立改元件的库。库中主要定义了该新元件的管脚定义及其属性,并且以具体的图形形式来代表(我们常常看到的是一个矩形(代表其IC BODY),周围许多短线(代表IC管脚))。protel创建库及其简单,而且因为用的人多,许多元件都能找到现成的库,这一点对使用者极为方便。应搞清楚ic body,ic pins,input pin,output pin,analog pin,digital pin,power pin等区别。 2)有了充足的库之后,就可以在原理图上画图了,按照datasheet和系统设计的要

硬件电路设计流程系列--方案设计

平台的选择很多时候和系统选择的算法是相关的,所以如果要提高架构,平台的设计能力,得不断提高自身的算法设计,复杂度评估能力,带宽分析能力。 常用的主处理器芯片有:单片机,ASIC,RISC(DEC Alpha、ARC、ARM、MIPS、PowerPC、SPARC和SuperH ),DSP和FPGA等,这些处理器的比较在网上有很多的文章,在这里不老生常谈了,这里只提1个典型的主处理器选型案例。 比如市场上现在有很多高清网络摄像机(HD-IPNC)的设计需求,而IPNC的解决方案也层出不穷,TI的解决方案有DM355、DM365、DM368等,海思提供的方案则有Hi3512、Hi3515、Hi3520等,NXP提供的方案有PNX1700、PNX1005等。 对于HD-IPNC的主处理芯片,有几个主要的技术指标:视频分辨率,视频编码器算法,最高支持的图像抓拍分辨率,CMOS的图像预处理能力,以及网络协议栈的开发平台。 Hi3512单芯片实现720P30 编解码能力,满足高清IP Camera应用, Hi3515可实现1080P30的编解码能力,持续提升高清IP Camera的性能。 DM355单芯片实现720P30 MPEG4编解码能力,DM365单芯片实现720P30 编解码能力, DM368单芯片实现1080P30 编解码能力。 DM355是2007 Q3推出的,DM365是2009 Q1推出的,DM368是2010 Q2推出的。海思的同档次解决方案也基本上与之同时出现。 海思和TI的解决方案都是基于linux,对于网络协议栈的开发而言,开源社区的资源是没有区别的,区别的只在于芯片供应商提供的SDK开发包,两家公司的SDK离产品都有一定的距离,但是linux的网络开发并不是一个技术难点,所以并不影响产品的推广。 作为IPNC的解决方案,在720P时代,海思的解决方案相对于TI的解决方案,其优势是支持了编解码算法,而TI只支持了MPEG4的编解码算法。虽然在2008年初,MPEG4的劣势在市场上已经开始体现出来,但在当时这似乎并不影响DM355的推广。 对于最高支持的图像抓拍分辨率,海思的解决方案可以支持支持JPEG抓拍3M Pixels@5fps,DM355最高可以支持5M Pixels,虽然当时没有成功的开发成5M Pixel的抓拍(内存分配得有点儿问题,后来就不折腾了),但是至少4M Pixel 的抓拍是实现了的,而且有几个朋友已经实现了2560x1920这个接近5M Pixel 的抓拍,所以在这一点上DM355稍微胜出。 因为在高清分辨率下,CCD传感器非常昂贵,而CMOS传感器像原尺寸又做不大,导致本身在低照度下就性能欠佳的CMOS传感器的成像质量在高分辨率时变差,

电路硬件设计基础

1.1电路硬件设计基础 1.1.1电路设计 硬件电路设计原理 嵌入式系统的硬件设计主要分3个步骤:设计电路原理图、生成网络表、设计印制电路板,如下图所示。 图1-1硬件设计的3个步骤 进行硬件设计开发,首先要进行原理图设计,需要将一个个元器件按一定的逻辑关系连接起来。设计一个原理图的元件来源是“原理图库”,除了元件库外还可以由用户自己增加建立新的元件,用户可以用这些元件来实现所要设计产品的逻辑功能。例如利用Protel 中的画线、总线等工具,将电路中具有电气意义的导线、符号和标识根据设计要求连接起来,构成一个完整的原理图。 原理图设计完成后要进行网络表输出。网络表是电路原理设计和印制电路板设计中的一个桥梁,它是设计工具软件自动布线的灵魂,可以从原理图中生成,也可以从印制电路板图中提取。常见的原理图输入工具都具有Verilog/VHDL网络表生成功能,这些网络表包含所有的元件及元件之间的网络连接关系。 原理图设计完成后就可进行印制电路板设计。进行印制电路板设计时,可以利用Protel 提供的包括自动布线、各种设计规则的确定、叠层的设计、布线方式的设计、信号完整性设计等强大的布线功能,完成复杂的印制电路板设计,达到系统的准确性、功能性、可靠性设计。 电路设计方法(有效步骤) 电路原理图设计不仅是整个电路设计的第一步,也是电路设计的基础。由于以后的设计工作都是以此为基础,因此电路原理图的好坏直接影响到以后的设计工作。电路原理图的具体设计步骤,如图所示。

图1-2原理图设计流程图 (1)建立元件库中没有的库元件 元件库中保存的元件只有常用元件。设计者在设计时首先碰到的问题往往就是库中没有原理图中的部分元件。这时设计者只有利用设计软件提供的元件编辑功能建立新的库元件,然后才能进行原理图设计。 当采用片上系统的设计方法时,系统电路是针对封装的引脚关系图,与传统的设计方法中采用逻辑关系的库元件不同。 (2)设置图纸属性 设计者根据实际电路的复杂程度设置图纸大小和类型。图纸属性的设置过程实际上是建立设计平台的过程。设计者只有设置好这个工作平台,才能够在上面设计符合要求的电路图。 (3)放置元件 在这个阶段,设计者根据原理图的需要,将元件从元件库中取出放置到图纸上,并根据原理图的需要进行调整,修改位置,对元件的编号、封装进行设置等,为下一步的工作打下基础。 (4)原理图布线 在这个阶段,设计者根据原理图的需要,利用设计软件提供的各种工具和指令进行布线,将工作平面上的元件用具有电气意义的导线、符号连接起来,构成一个完整的原理图。 (5)检查与校对 在该阶段,设计者利用设计软件提供的各种检测功能对所绘制的原理图进行检查与校对,以保证原理图符合电气规则,同时还应力求做到布局美观。这个过程包括校对元件、导线位置调整以及更改元件的属性等。 (6)电路分析与仿真 这一步,设计者利用原理图仿真软件或设计软件提供的强大的电路仿真功能,对原理图的性能指标进行仿真,使设计者在原理图中就能对自己设计的电路性能指标进行观察、测试,从而避免前期问题后移,造成不必要的返工。

硬件电路设计基础知识

硬件电路设计基础知识 Document serial number【LGGKGB-LGG98YT-LGGT8CB-LGUT-

硬件电子电路基础

第一章半导体器件 §1-1 半导体基础知识一、什么是半导体

半导体就是导电能力介于导体和绝缘体之间的物质。(导电能力即电导率)(如:硅Si 锗Ge等+4价元素以及化合物) 二、半导体的导电特性 本征半导体――纯净、晶体结构完整的半导体称为本征半导体。 硅和锗的共价键结构。(略) 1、半导体的导电率会在外界因素作用下发生变化 掺杂──管子 温度──热敏元件 光照──光敏元件等 2、半导体中的两种载流子──自由电子和空穴 自由电子──受束缚的电子(-) 空穴──电子跳走以后留下的坑(+) 三、杂质半导体──N型、P型 (前讲)掺杂可以显着地改变半导体的导电特性,从而制造出杂质半导体。 N型半导体(自由电子多) 掺杂为+5价元素。如:磷;砷 P──+5价使自由电子大大增加 原理: Si──+4价 P与Si形成共价键后多余了一个电子。 载流子组成:

o本征激发的空穴和自由电子──数量少。 o掺杂后由P提供的自由电子──数量多。 o空穴──少子 o自由电子──多子 P型半导体(空穴多) 掺杂为+3价元素。如:硼;铝使空穴大大增加 原理: Si──+4价 B与Si形成共价键后多余了一个空穴。 B──+3价 载流子组成: o本征激发的空穴和自由电子──数量少。 o掺杂后由B提供的空穴──数量多。 o空穴──多子 o自由电子──少子 结论:N型半导体中的多数载流子为自由电子; P型半导体中的多数载流子为空穴。 §1-2 PN结 一、PN结的基本原理 1、什么是PN结 将一块P型半导体和一块N型半导体紧密第结合在一起时,交界面两侧的那部分区域。

硬件电路板设计规范

硬件电路板设计规范(总36 页) -CAL-FENGHAI.-(YICAI)-Company One1 -CAL-本页仅作为文档封面,使用请直接删除

0目录 0目录............................................... 错误!未定义书签。

1概述............................................... 错误!未定义书签。 适用范围............................................ 错误!未定义书签。 参考标准或资料 ...................................... 错误!未定义书签。 目的................................................ 错误!未定义书签。2PCB设计任务的受理和计划............................ 错误!未定义书签。 PCB设计任务的受理................................... 错误!未定义书签。 理解设计要求并制定设计计划 .......................... 错误!未定义书签。3规范内容........................................... 错误!未定义书签。 基本术语定义........................................ 错误!未定义书签。 PCB板材要求: ....................................... 错误!未定义书签。 元件库制作要求 ...................................... 错误!未定义书签。 原理图元件库管理规范:......................... 错误!未定义书签。 PCB封装库管理规范............................. 错误!未定义书签。 原理图绘制规范 ...................................... 错误!未定义书签。 PCB设计前的准备..................................... 错误!未定义书签。 创建网络表..................................... 错误!未定义书签。 创建PCB板..................................... 错误!未定义书签。 布局规范............................................ 错误!未定义书签。 布局操作的基本原则............................. 错误!未定义书签。 热设计要求..................................... 错误!未定义书签。 基本布局具体要求............................... 错误!未定义书签。 布线要求............................................ 错误!未定义书签。 布线基本要求................................... 错误!未定义书签。 安规要求....................................... 错误!未定义书签。 丝印要求............................................ 错误!未定义书签。 可测试性要求........................................ 错误!未定义书签。 PCB成板要求......................................... 错误!未定义书签。

硬件基础知识

第三章硬件基础知识学习 通过上一课的学习,我们貌似成功的点亮了一个LED小灯,但是还有一些知识大家还没有 彻底明白。单片机是根据硬件电路图的设计来写代码的,所以我们不仅仅要学习编程知识,还有硬件知识,也要进一步的学习,这节课我们就要来穿插介绍电路硬件知识。 3.1 电磁干扰EMI 第一个知识点,去耦电容的应用,那首先要介绍一下去耦电容的应用背景,这个背景就是电磁干扰,也就是传说中的EMI。 1、冬天的时候,尤其是空气比较干燥的内陆城市,很多朋友都有这样的经历,手触碰到电脑外壳、铁柜子等物品的时候会被电击,实际上这就是“静电放电”现象,也称之为ESD。 2、不知道有没有同学有这样的经历,早期我们使用电钻这种电机设备,并且同时在听收音机或者看电视的时候,收音机或者电视会出现杂音,这就是“快速瞬间群脉冲”的效果,也称之为EFT。 3、以前的老电脑,有的性能不是很好,带电热插拔优盘、移动硬盘等外围设备的时候,内部会产生一个百万分之一秒的电源切换,直接导致电脑出现蓝屏或者重启现象,就是热插拔的“浪涌”效果,称之为Surge... ... 电磁干扰的内容有很多,我们这里不能一一列举,但是有些内容非常重要,后边我们要一点点的了解。这些问题大家不要认为是小问题,比如一个简单的静电放电,我们用手能感觉到的静电,可能已经达到3KV以上,如果用眼睛能看得到的,至少是5KV了,只是因为 这个电压虽然很高,电量却很小,因此不会对人体造成伤害。但是我们应用的这些半导体元器件就不一样了,一旦瞬间电压过高,就有可能造成器件的损坏。而且,即使不损坏,在2、3里边介绍的两种现象,也严重干扰到我们正常使用电子设备了。 基于以上的这些问题,就诞生了电磁兼容(EMC)这个名词。这节课我们仅仅讲一下去耦

硬件工程师必须掌握基础

第一部分.硬件工程师必须掌握基础知识与经验精华 目的:基于实际经验与实际项目详细理解并掌握成为合格的硬件工程师的最基本知识。成为合格的硬件工程师的必备知识,全部来源于工程实践的实际要求. 1) 基本设计规范 2) CPU基本知识、架构、性能及选型指导(MIPS,POWERPC,X86) 3) MOTOROLA公司的PowerPC系列基本知识、性能详解及选型指导 4) 网络处理器(INTEL、MOTOROLA、IBM)基本知识、架构、性能及选型 5) 多核CPU的基础知识及典型应用 6) 常用总线的基本知识、性能详解(总线带宽、效率等) 7) 各种存储器详细性能介绍,设计要点及选型指导(DDR I,DDR II,L2 CACHE) 8) DATACOM、TELECOM常用物理层接口芯片基本知识、性能、设计要点及选型指导 9) 常用器件选型指导 10)FPGA、CPLD、EPLD的详细性能、设计要点及选型指导 11)VHDL or Verilog HDL 12)网络基础:交换,路由 13)国内大型硬件设备公司的硬件研发规范和研发流程介绍: 第二部分.硬件开发工具 目的:“工欲善其事,必先利其器”,熟练使用业界最新、最流行的专业设计工具,才可完成复杂的硬件设计。为了让学员对自己的培训投资能够物超所值,我们不会象某些培训机构那样, 将大量时间浪费在工具的使用上面,课堂上我们将基本不讲授这些工具的使用方法,而是希望学员能够通过自己在课下学习,此部分我们只进行课堂上的关键部分的指导,本部分不是课程的重点内容,虽然工具的使用对于成为合格的硬件工程师是必须和必备的技能; 1) INNOVEDA公司的ViewDraw,PowerPCB,Cam350 2) CADENCE公司的OrCad,Allegro,Spectra 3) Altera公司的MAX+PLUS II 4) XILINX公司的FOUNDATION、ISE 第三部分.硬件总体设计及原理图设计的核心经验与知识精华 此部分,讲师将依据国内著名硬件设备公司的产品开发流程,以基于高速总线结构和高端CPU的几个硬件开发项目为主线,将详细、深入、专业地讲解、剖析硬件总体设计和原理设计的核心经验和知识精华,把业内一些“概不外传”的经验与精髓传授给学员。我们希望通过"真正的经验传授"使你迅速成长为优秀的硬件总体设计师; 核心要点: 1)原理图设计全部经验揭密2) 原理图检查checklist 3) 设计理念的根本改变:“纸上”作业4) 结合已经批量转产的高端产品的原理图(原件)进行讲解 1) 产品需求分析 2) 开发可行性分析 3) 系统方案调研,给出我们自己总结的、非常实用有效的、相关的检查项, 4) 硬件总体设计的检查: checklist 5) 总体架构,CPU选型,总线类型 6) 通信接口类型选择 7) 任务分解

模拟电路设计 基础知识(笔试时候容易遇到的题目)

模拟电路设计基础知识(笔试时候容易遇到的 题目) 1、最基本的如三极管曲线特性(太低极了点) 2、基本放大电路,种类,优缺点,特别是广泛采用差分结构的原因 3、反馈之类,如:负反馈的优点(带宽变大) 4、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法 5、锁相环电路组成,振荡器(比如用D触发器如何搭) 6、A/D电路组成,工作原理如果公司做高频电子的,可能还要RF知识,调频,鉴频鉴相之类,不一一列举太底层的MOS管物理特性感觉一般不大会作为笔试面试题,因为全是微电子物理,公式推导太罗索,除非面试出题的是个老学究 ic设计的话需要熟悉的软件adence, Synopsys, Advant,UNIX当然也要大概会操作实际工作所需要的一些技术知识(面试容易问到) 如电路的低功耗,稳定,高速如何做到,调运放,布版图注意的地方等等,一般会针对简历上你所写做过的东西具体问,肯定会问得很细(所以别把什么都写上,精通之类的词也别用太多了),这个东西各个人就不一样了,不好说什么了。 2、数字电路设计当然必问Verilog/VHDL,如设计计数器逻辑方面数字电路的卡诺图化简,时序(同步异步差异),触发器有几种(区别,优点),全加器等等比如:设计一个自动售货

机系统,卖soda水的,只能投进三种硬币,要正确的找回钱数1、画出fsm(有限状态机)2、用verilog编程,语法要符合fpga设计的要求系统方面:如果简历上还说做过cpu之类,就会问到诸如cpu如何工作,流水线之类的问题3、单片机、DSP、FPG A、嵌入式方面(从没碰过,就大概知道几个名字胡扯几句,欢迎拍砖,也欢迎牛人帮忙补充)如单片机中断几个/类型,编中断程序注意什么问题 DSP的结构(冯、诺伊曼结构吗?)嵌入式处理器类型(如ARM),操作系统种类 (Vxworks,ucos,winCE,linux),操作系统方面偏CS方向了,在CS篇里面讲了4、信号系统基础拉氏变换与Z变换公式等类似东西,随便翻翻书把如、h(n)=-a*h(n-1)+b*δ(n) a、求h(n)的z变换 b、问该系统是否为稳定系统 c、写出F IR数字滤波器的差分方程以往各种笔试题举例利用4选1实现F(x,y,z)=xz+yz 用mos管搭出一个二输入与非门。 用传输门和倒向器搭一个边沿触发器用运算放大器组成一个10倍的放大器微波电路的匹配电阻。 名词解释,无聊的外文缩写罢了,比如PCI、EC C、DDR、interrupt、pipeline IRQ,BIOS,USB,VHDL,VLSI VCO(压控振荡器) RAM (动态随机存储器),FIR IIR DFT(离散傅立叶变换) 或者是中文的,比如 a量化误差 b、直方图 c、白平衡共同的注

硬件电路设计基础知识.docx

硬件电子电路基础关于本课程 § 4—2乙类功率放大电路 § 4—3丙类功率放大电路 § 4—4丙类谐振倍频电路 第五章正弦波振荡器 § 5—1反馈型正弦波振荡器的工作原理 § 5— 2 LC正弦波振荡电路 § 5— 3 LC振荡器的频率稳定度 § 5—4石英晶体振荡器 § 5— 5 RC正弦波振荡器

第一章半导体器件 §1半导体基础知识 §1PN 结 §-1二极管 §1晶体三极管 §1场效应管 §1半导体基础知识 、什么是半导体半导体就是导电能力介于导体和绝缘体之间的物质。(导电能力即电导率)(如:硅Si锗Ge等+ 4价元素以及化合物) 、半导体的导电特性本征半导体一一纯净、晶体结构完整的半导体称为本征半导体。 硅和锗的共价键结构。(略)

1、半导体的导电率会在外界因素作用下发生变化 ?掺杂一一管子 *温度--- 热敏元件 ?光照——光敏元件等 2、半导体中的两种载流子一一自由电子和空穴 ?自由电子——受束缚的电子(一) ?空穴——电子跳走以后留下的坑(+ ) 三、杂质半导体——N型、P型 (前讲)掺杂可以显著地改变半导体的导电特性,从而制造出杂质半导体。 *N型半导体(自由电子多) 掺杂为+ 5价元素。女口:磷;砷P—+ 5价使自由电子大大增加原理:Si—+ 4价P与Si形成共价键后多余了一个电子。 载流子组成: o本征激发的空穴和自由电子——数量少。 o掺杂后由P提供的自由电子——数量多。 o 空穴——少子 o 自由电子------ 多子 ?P型半导体(空穴多) 掺杂为+ 3价元素。女口:硼;铝使空穴大大增加 原理:Si—+ 4价B与Si形成共价键后多余了一个空穴。 B——+ 3价 载流子组成: o本征激发的空穴和自由电子数量少。 o掺杂后由B提供的空穴——数量多。 o 空穴——多子 o 自由电子——少子

电路设计的基本原理和方法

电路设计的基本原理和方法 本人经过整理得出如下的电路设计方法,希望对广大电子爱好者及热衷于硬件研发的朋友有所帮助。 电子电路的设计方法 设计一个电子电路系统时,首先必须明确系统的设计任务,根据任务进行方案选择,然后对方案中的各个部分进行单元的设计,参数计算和器件选择,最后将各个部分连接在一起,画出一个符合设计要求的完整的系统电路图。 一.明确系统的设计任务要求 对系统的设计任务进行具体分析,充分了解系统的性能,指标,内容及要求,以明确系统应完成的任务。 二.方案选择 这一步的工作要求是把系统要完成的任务分配给若干个单元电路,并画出一个能表示各单元功能的整机原理框图。 方案选择的重要任务是根据掌握的知识和资料,针对系统提出的任务,要求和条件,完成系统的功能设计。在这个过程中要敢于探索,勇于创新,力争做到设计方案合理,可靠,经济,功能齐全,技术先进。并且对方案要不断进行可行性和有缺点的分析,最后设计出一个完整框图。框图必须正确反映应完成的任务和各组成部分的功能,清楚表示系统的基本组成和相互关系。 三.单元电路的设计,参数计算和期间选择 根据系统的指标和功能框图,明确各部分任务,进行各单元电路的设计,参数计算和器件选择。 1.单元电路设计 单元电路是整机的一部分,只有把各单元电路设计好才能提高整机设计水平。 每个单元电路设计前都需明确各单元电路的任务,详细拟定出单元电路的性能指标,与前后级之间的关系,分析电路的组成形式。具体设计时,可以模仿传输的先进的电路,也可以进行创新或改进,但都必须保证性能要求。而且,不仅单元电路本身要设计合理,各单元电路间也要互相配合,注意各部分的输入信号,输出信号和控制信号的关系。 2.参数计算 为保证单元电路达到功能指标要求,就需要用电子技术知识对参数进行计算。例如,放大电路中各电阻值,放大倍数的计算;振荡器中电阻,电容,振荡频率等参数的计算。只有很好的理解电路的工作原理,正确利用计算公式,计算的参数才能满足设计要求。 参数计算时,同一个电路可能有几组数据,注意选择一组能完成电路设计要求的功能,在实践中能真正可行的参数。 计算电路参数时应注意下列问题: (1)元器件的工作电流,电压,频率和功耗等参数应能满足电路指标的要求; (2)元器件的极限参数必须留有足够充裕量,一般应大于额定值的1.5倍; (3)电阻和电容的参数应选计算值附近的标称值。 3.器件选择 (1)元件的选择 阻容电阻和电容种类很多,正确选择电阻和电容是很重要的。不同的电路对电阻和电容性能要求也不同,有解电路对电容的漏电要求很严,还有些电路对电阻,电容的性能和容量要求很高。例如滤波电路中常用大容量(100uF~3000uF)铝电解电容,为滤掉高频通常

硬件电路设计规范样本

硬件电路板设计规范 制定此《规范》的目的和出发点是为了培养硬件开发人员严谨、务实的 工作作风和严肃、认真的工作态度, 增强硬件开发人员的责任感和使命感, 提高工作效率和开发成功率, 保证产品质量。 1、深入理解设计需求, 从需求中整理出电路功能模块和性能指标要求; 2、根据功能和性能需求制定总体设计方案, 对CPU等主芯片进行选型, CPU选型有以下几点要求: 1) 容易采购, 性价比高; 2) 容易开发: 体现在硬件调试工具种类多, 参考设计多, 软件资源丰富, 成功案例多; 3) 可扩展性好; 3、针对已经选定的CPU芯片, 选择一个与我们需求比较接近的成功参 考设计。 一般CPU生产商或她们的合作方都会对每款CPU芯片做若干开发板进行验证, 厂家最后公开给用户的参考设计图虽说不是产品级的东西, 也应该是经 过严格验证的, 否则也会影响到她们的芯片推广应用, 纵然参考设计的外围 电路有可推敲的地方, CPU本身的管脚连接使用方法也绝对是值得我们信赖的, 当然如果万一出现多个参考设计某些管脚连接方式不同, 能够细读CPU芯片 手册和勘误表, 或者找厂商确认; 另外在设计之前, 最好我们能外借或者购

买一块选定的参考板进行软件验证, 如果没问题那么硬件参考设计也是能够信赖的; 但要注意一点, 现在很多CPU都有若干种启动模式, 我们要选一种最适合的启动模式, 或者做成兼容设计; 4、根据需求对外设功能模块进行元器件选型, 元器件选型应该遵守以下原则: 1) 普遍性原则: 所选的元器件要被广泛使用验证过的尽量少使用冷、偏芯片, 减少风险; 2) 高性价比原则: 在功能、性能、使用率都相近的情况下, 尽量选择价格比较好的元器件, 减少成本; 3) 采购方便原则: 尽量选择容易买到, 供货周期短的元器件; 4) 持续发展原则: 尽量选择在可预见的时间内不会停产的元器件; 5) 可替代原则: 尽量选择pin to pin兼容种类比较多的元器件; 6) 向上兼容原则: 尽量选择以前老产品用过的元器件; 7) 资源节约原则: 尽量用上元器件的全部功能和管脚; 5、对选定的CPU参考设计原理图外围电路进行修改, 修改时对于每个功能模块都要找至少3个相同外围芯片的成功参考设计, 如果找到的参考设计连接方法都是完全一样的, 那么基本能够放心参照设计, 但即使只有一个参考设计与其它的不一样, 也不能简单地少数服从多数, 而是要细读芯片数据手册, 深入理解那些管脚含义, 多方讨论, 联系芯片厂技术支持, 最终确定科学、正确的连接方式, 如果仍有疑义, 能够做兼容设计; 当然, 如果所

硬件工程师必用20个电子线路图

这20个电子线路图,硬件工程师一定用得上! 电子技术、无线电维修及电子制造工艺技术绝不是一门容易学好、短时间内就能够掌握的学科。这门学科所涉及的方方面面很多,各方面又相互联系,作为初学者,首先要在整体上了解、初步掌握它。 无论是无线电爱好者还是维修技术人员,你能够说出电路板上那些小元件叫做什么,又有什么作用吗?如果想成为元件(芯片)级高手的话,掌握一些相关的电子知识是必不可少的。 普及与电子基础知识,拓宽思路交流,知识的积累是基础的基础,基础和基本功扎实了才能奠定攀登高峰阶梯!这就是基本功。 电子技术的历史背景: 早在两千多年前,人们就发现了电现象和磁现象。我国早在战国时期(公元前475一211年)就发明了司南。而人类对电和磁的真正认识和广泛应用、迄今还只有一百多年历史。在第一次产业革命浪潮的推动下,许多科学家对电和磁现象进行了深入细致的研究,从而取得了重大进展。人们发现带电的物体同性相斥、异性相吸,与磁学现象有类似之处。 1785年,法国物理学家库仑在总结前人对电磁现象认识的基础上,提出了后人所称的“库仑定律”,使电学与磁学现象得到了统一。 1800年,意大利物理学家伏特研制出化学电池,用人工办法获得了连续电池,为后人对电和磁关系的研究创造了首要条件。 1822年,英国的法拉第在前人所做大量工作的基础上,提出了电磁感应定律,证明了“磁”能够产生“电”,这就为发电机和电动机的原理奠定了基础。 1837年美国画家莫尔斯在前人的基础上设计出比较实用的、用电码传送信息的电报机,之后,又在华盛顿与巴尔的摩城之间建立了世界上第一条电报线路。 1876 年,美国的贝尔发明了电话,实现了人类最早的模拟通信。英国的麦克斯韦在总结前人工作基础上,提出了一套完整的“电磁理论”,表现为四个微分方程。这那就后人所称的“麦克斯韦方程组”.麦克斯韦得出结论:运动着的电荷能产生电磁辐射,形成逐渐向外传播的、看不见的电磁波。他虽然并未提出“无线电”这个名词,但他的电磁理论却已经告诉人们,“电”是能够“无线”传播的。 对模拟电路的掌握分为三个层次:

单片机硬件电路设计

单片机应用设计

概述 单片机是一种大规模的具有计算机基本功能的单片 单片机是一种大规模的具有计算机基本功能的单片集成电路。可以与少量外围电路构成一个小而完善的计算机系统。芯片内置和外围的电路能在软件的控制下准确、迅速、高效地完成程序设计者事先规定的任务。 单片机具有体积小、功耗低、控制功能强、扩 单片机具有体积小、功耗低、控制功能强、扩展灵活、使用方便等优点,广泛应用于仪器仪表、家用电器、医用设备、航空航天、通信产品、智能玩具、汽车电子、专用设备的智能化管理及过程控制等领域。 制等领域。

单片机类型 集中指令集(CISC)和精简指令集(RISC)–采用CISC结构的单片机数据线和指令线分时复 用,即所谓冯.诺伊曼结构。它的指令丰富,功 能较强,但取指令和取数据不能同时进行,速度 受限,价格亦高。 –采用RISC结构的单片机,数据线和指令线分离 ,即所谓哈佛结构。这使得取指令和取数据可同 时进行,且由于一般指令线宽于数据线,使其指 令较同类CISC单片机指令包含更多的处理信息 ,执行效率更高,速度亦更快。同时,这种单片 机指令多为单字节,程序存储器的空间利用率大 大提高,有利于实现超小型化。

常用的几个系列单片机 MCS-51及其兼容系列: –英特尔公司的MCS-51系列单片机是目前应 用最广泛的8位单片机之一,并且ATMEL、 PHILIPS、ADI、MAXIM、LG、 SIEMENS等公司都有其兼容型号的芯片。 这个系列的单片机具有运算与寻址能力强, 存储空间大,片内集成外设丰富,功耗低等 优点,其中大部分兼容芯片都含有片内 FLASH程序存储器,价格便宜。适合应用于 仪器仪表、测控系统、嵌入系统等开发。

硬件工程师基础知识项目汇总

硬件工程师基础知识项目汇总 目的:基于实际经验与实际项目详细理解并掌握成为合格的硬件工程师的最基本知识。 1)基本设计规范 2) CPU基本知识、架构、性能及选型指导 3) MOTOROLA公司的PowerPC系列基本知识、性能详解及选型指导 4)网络处理器(INTEL、MOTOROLA、IBM)的基本知识、架构、性能及选型 5)常用总线的基本知识、性能详解 6)各种存储器的详细性能介绍、设计要点及选型 7) Datacom、Telecom领域常用物理层接口芯片基本知识,性能、设计要点及选型 8)常用器件选型要点与精华 9)FPGA、CPLD、EPLD的详细性能介绍、设计要点及选型指导 10) VHDL和Verilog HDL介绍 11)网络基础 12)国内大型通信设备公司硬件研究开发流程; 二.最流行的EDA工具指导 熟练掌握并使用业界最新、最流行的专业设计工具 1) Innoveda公司的ViewDraw,PowerPCB,Cam350 2)CADENCE公司的OrCad, Allegro,Spectra 3)Altera公司的MAX PLUS II 4)学习熟练使用VIEWDRAW、ORCAD、POWERPCB、SPECCTRA、ALLEGRO、CAM350、MAX PLUS II、ISE、 FOUNDATION等工具; 5) XILINX公司的FOUNDATION、ISE 一.硬件总体设计 掌握硬件总体设计所必须具备的硬件设计经验与设计思路 1)产品需求分析 2)开发可行性分析 3)系统方案调研 4)总体架构,CPU选型,总线类型 5)数据通信与电信领域主流CPU:M68k系列,PowerPC860,PowerPC8240,8260体系结构,性能及对比; 6)总体硬件结构设计及应注意的问题; 7)通信接口类型选择 8)任务分解 9)最小系统设计; 10) PCI总线知识与规范; 11)如何在总体设计阶段避免出现致命性错误; 12)如何合理地进行任务分解以达到事半功倍的效果?

硬件电路设计流程系列

硬件电路设计流程系列--方案设计 一、硬件电路设计流程系列--硬件电路设计规范 二、硬件电路设计流程系列--方案设计(1) :主芯片选型三、 硬件电路设计流程系列--方案设计(2) :芯片选购 四、硬件电路设计流程系列--方案设计(3) :功耗分析与电源设计五、 硬件电路设计流程系列--方案设计(4):设计一个合适的系统电源 一 硬件电路设计规范 1、详细理解设计需求,从需求中整理出电路功能模块和性能指标要求; 2、根据功能和性能需求制定总体设计方案,对CPU进行选型, CPU选型有以下几点要求: a)性价比高; b)容易开发:体现在硬件调试工具种类多,参考设计多,软件资源丰富,成功案例多; c)可扩展性好; 3、针对已经选定的 CPU芯片,选择一个与我们需求比较接近的成功参考设计,一般 CPU生产商或他们的合作方都会对每款 CPU 芯片做若干开发板进行验证,比如440EP 就有yosemite 开发板和 bamboo 开发板,我们参考得是yosemite 开发板,厂家最后公开给用户的参考设计图虽说不是产品级的东西,也应该是经过严格验证的,否则也会影响到他们的芯片推广应用,纵然参考设计的外围电路有可推敲的地方,CPU 本身的管脚连接使用方法也绝对是值得我们信赖的,当然如果万一出现多个参考设计某些管脚连接方式不同,可以细读 CPU 芯片手册和勘误表,或者找厂商确认;另外在设计之前,最好我们能外借或者购买一块选定的参考板进行软件验证,如果没问题那么硬件参考设计也是可以信赖的;但要注意一点,现在很多 CPU 都有若干种启动模式,我们要选一种最适合的启动模式,或者做成兼容设计。

嵌入式硬件电路设计基础知识梳理

嵌入式硬件电路设计基础知识梳理 嵌入式设计是个庞大的工程,今天就说说硬件电路设计方面的几个注意事项,首先,咱们了解下嵌入式的硬件构架。 我们知道,CPU是这个系统的灵魂,所有的外围配置都与其相关联,这也突出了嵌入式设计的一个特点硬件可剪裁。在做嵌入式硬件设计中,以下几点需要关注。 第一、电源确定 电源对于嵌入式系统中的作用可以看做是空气对人体的作用,甚至更重要:人呼吸的空气中有氧气、二氧化碳和氮气等但是含量稳定,这就相当于电源系统中各种杂波,我们希望得到纯净和稳定符合要求的电源,但由于各种因素制约,只是我们的梦想。这个要关注两个方面: a、电压 嵌入式系统需要各种量级的电源比如常见的5v、3.3v、1.8v等,为尽量减小电源的纹波,在嵌入式系统中使用LDO器件。如果采用DCDC不仅个头大,其纹波也是一个很头疼的问题。 b、电流 嵌入式系统的正常运行不但需要稳定足够的电源,还要有足够的电流,因此在选择电源器件的时候需要考虑其负载,我设计时一般留有30%的余量。 如果是多层板,电源部分在layout的时候需电源分割,这时需要注意分割路径,尽量将一定量的电源放置在一起。如果是双面板,则走线宽度需要注意,在板子允许的情况下尽量加宽。合适的退耦电容尽量靠近电源管脚。第二、晶振确定 晶振相当于嵌入式系统的心脏,其稳定与否直接关系其运行状态和通讯性能。常见的振有无源晶振,有源晶振,首先要确定其振荡频率,其次要确定晶振类型。 a、无源晶振 其匹配电容和匹配电阻的选择,这部分一般依据参考手册。在单片机设计中,经常使用插件晶振配合瓷片电容。在ARM中,为了减少空间和便于布线,经常使用四角无源晶振配

成为合格的硬件工程师的基本知识

成为合格的硬件工程师的最基本知识 目的:基于实际经验与实际项目详细理解并掌握成为合格的硬件工程师的最基本知识。 1)基本设计规范 2)CPU基本知识、架构、性能及选型指导 3)MOTOROLA公司的PowerPC系列基本知识、性能详解及选型指导 4)网络处理器(INTEL、MOTOROLA、IBM)的基本知识、架构、性能及选型 5)常用总线的基本知识、性能详解 6)各种存储器的详细性能介绍、设计要点及选型 7)Datacom、Telecom领域常用物理层接口芯片基本知识,性能、设计要点及选型 8)常用器件选型要点与精华 9)FPGA、CPLD、EPLD的详细性能介绍、设计要点及选型指导10)VHDL和Verilog HDL介绍 11)网络基础

12)国内大型通信设备公司硬件研究开发流程; 二.最流行的EDA工具指导熟练掌握并使用业界最新、最流行的专业设计工具 1)Innoveda公司的ViewDraw,PowerPCB,Cam350 2)CADENCE公司的OrCad,Allegro,Spectra 3)Altera公司的QUARTUS II 4)学习熟练使用VIEWDRAW、ORCAD、POWERPCB、SPECCTRA、ALLEGRO、CAM350、MAX+PLUS II、ISE、FOUNDATION等工具;5)XILINX公司的FOUNDATION、ISE 一.硬件总体设计掌握硬件总体设计所必须具备的硬件设计经验与设计思路 1)产品需求分析 2)开发可行性分析 3)系统方案调研 4)总体架构,CPU选型,总线类型 5)数据通信与电信领域主流CPU:M68k系列, PowerPC860,PowerPC8240,8260体系结构,性能及对比;

硬件电路设计基础知识

硬件电子电路基础 1

第一章半导体器件 §1-1 半导体基础知识 一、什么是半导体 半导体就是导电能力介于导体和绝缘体之间的物质。(导电能力即电导率) (如:硅Si 锗Ge等+4价元素以及化合物) 二、半导体的导电特性 本征半导体――纯净、晶体结构完整的半导体称为本征半导体。 硅和锗的共价键结构。(略) 2

1、半导体的导电率会在外界因素作用下发生变化 ?掺杂──管子 ?温度──热敏元件 ?光照──光敏元件等 2、半导体中的两种载流子──自由电子和空穴 ?自由电子──受束缚的电子(-) ?空穴──电子跳走以后留下的坑(+) 三、杂质半导体──N型、P型 (前讲)掺杂可以显著地改变半导体的导电特性,从而制造出杂质半导体。 ?N型半导体(自由电子多) 掺杂为+5价元素。如:磷;砷P──+5价使自由电子大大增加 原理:Si──+4价P与Si形成共价键后多余了一个电子。 载流子组成: o本征激发的空穴和自由电子──数量少。 o掺杂后由P提供的自由电子──数量多。 o空穴──少子 o自由电子──多子 ?P型半导体(空穴多) 掺杂为+3价元素。如:硼;铝使空穴大大增加 原理:Si──+4价B与Si形成共价键后多余了一个空穴。 B──+3价 载流子组成: o本征激发的空穴和自由电子──数量少。 o掺杂后由B提供的空穴──数量多。 o空穴──多子 o自由电子──少子 结论:N型半导体中的多数载流子为自由电子; 3

P型半导体中的多数载流子为空穴。 §1-2 PN结 一、PN结的基本原理 1、什么是PN结 将一块P型半导体和一块N型半导体紧密第结合在一起时,交界面两侧的那部分区域。 2、PN结的结构 分界面上的情况: P区:空穴多 N区:自由电子多 扩散运动: 多的往少的那去,并被复合掉。留下了正、负离子。 (正、负离子不能移动) 留下了一个正、负离子区──耗尽区。 由正、负离子区形成了一个内建电场(即势垒高度)。 方向:N--> P 大小:与材料和温度有关。(很小,约零点几伏) 漂移运动: 由于内建电场的吸引,个别少数载流子受电场力的作用与多子运动方向相反作运动。 结论:在没有外加电压的情况下,扩散电流和漂移电流的大小相等,方向相反。总电流为零。 4

相关文档
最新文档