北京交通大学单片机实验程序报告

北京交通大学单片机实验程序报告
北京交通大学单片机实验程序报告

单片机实验程序

实验二8155并行I/O口扩展和动态扫描程序编制

1.实验目的

(1)掌握8155并行I/O芯片扩展和使用方法

(2)掌握数码管动态扫描汇编语言的编制方法

2.预习要点

(1)8155芯片基础知识

(2)51单片机的总线时序、地址译码的原理

(3)数码管动态扫描显示方法

3.实验设备

计算机、单片机实验箱。

4.实验内容

基本要求:

通过实验板的上的8155(U16)显示电路(在电路板上已经固定连接字形和字位控制线的8155部分),并通过跳线确定8155的地址,在8个LED数码管上依次动态显示数字1~8。

扩展要求:

假定30H~33H的存储单元内容为4个字节16进制数,请依序将他们显示在8个LED数码管上

根据程序要求做如下程序流程图:

主程序流程图:

显示子程序流程框图:

基本要求编程如下:

ORG 0000H

AJMP MAIN

ORG 0050H

MAIN: MOV SP,#60H ;压栈

MOV DPTR, #4100H

MOV A,#0FH ;方式控制字0FH送A

MOVX @DPTR, A ;8155初始化

MOV 70H,#01H ;设置显示缓冲区

MOV 71H,#02H

MOV 72H,#03H

MOV 73H,#04H

MOV 74H,#05H

MOV 75H,#06H

MOV 76H,#07H

MOV 77H,#08H

LOOP: ACALL DISPLAY ;循环调用显示子程序

AJMP LOOP

DISPLAY:

MOV R0,#70H ;显示缓冲区首地址送R0

MOV R3,#0FEH ;字位控制初值送R3

LD0: MOV DPTR,#4102H

MOV A,#0FFH

MOVX @DPTR, A ;B口字位送高,关共阴显示

MOV A,@R0

MOV DPTR,#DISTAB

MOVC A,@A+DPTR ;查表

MOV DPTR,#4101H ;PA口作字形

MOVX @DPTR,A

MOV DPTR,#4102H ;PB口作字位

MOV A,R3

MOVX @DPTR,A

ACALL DELAY ;延时1ms

INC R0 ;修正显示缓冲区指针

JNB ACC.7,LD1 ;若显示完一遍,则LD1

RL A ;字位码左移一位此处A中的值是R3

MOV R3,A ;送回R3

AJMP LD0 ;显示下一个数码

LD1: RET ;此处把每一个八位显示看成一次函数。

DISTAB: DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH ;(共阴极字形表) DELAY: MOV R7,#02H ;1ms延时

DELAY1:MOV R6,#0FFH

DELAY2:DJNZ R6,DELAY2

DJNZ R7,DELAY1

RET

END

实验中遇到的问题:

开始时没有预先在显示缓冲区中存入1~7,故实验显示的数据不是1、2、3、4、5、6、7、8,而是显示缓冲区中之前存在的无规则的一些数据,待向显示缓冲区重新赋值后,显示正常。

扩展要求编程如下:

ORG 0000H

LJMP MAIN

ORG 1000H

MAIN: MOV SP,#50H

MOV 30H,#0A1H

MOV 31H,#0C2H

MOV 32H,#0D3H

MOV 33H,#0F4H

LCALL TRAN

MOV DPTR,#4100H

MOV A,#0FH

MOVX @DPTR,A

LOOP: LCALL DISPLAY

SJMP LOOP

TRAN: MOV R1,#30H ;将30-31H里的十六进制数分成两位存在70-71H中MOV R0,#70H

MOV R3,#04

LD2: MOV A,@R1

ANL A,#0FH ;取出低字节

MOV @R0,A

INC R0

MOV A,@R1

ANL A,#0F0H ;取出高字节

SWAP A ;高低字节交换,将十六进制数的两位分开存放

MOV @R0,A

INC R0

INC R1

DJNZ R3,LD2

RET

DISPLAY:MOV R1,#0FEH ;显示部分同基本要求

MOV R0,#70H

MOV A,R1

LD0: MOV DPTR,#4102H

MOVX @DPTR,A

MOV A, @R0

MOV DPTR,#TAB

MOVC A,@A+DPTR

MOV DPTR,#4101H

MOVX @DPTR,A

LCALL DELAY

INC R0

MOV A,R1

JNB ACC.7,LD1

RLA

MOV R1,A

SJMP LD0

LD1: RET

TAB: DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH

DB 77H,7CH,39H,5EH,79H, 71H

DELAY: MOV R7,#02H

DELAY1:MOV R6,#0FFH

DELAY2:DJNZ R6,DELAY2

DJNZ R7,DELAY2

RET

END

实验三查询式键盘实验

1.实验目的

(1)掌握查询式按键检测方法

(2)掌握数码管动态扫描汇编语言的编制方法

2.预习要点

(1)按键检测的基本原理

(2)数码管动态扫描显示方法

3.实验设备

计算机、单片机实验箱。

4.实验内容

基本要求:

接实验二的实验内容,通过实验板的4个按键电路,将按键的检测线连接到CPU 的p1.0~p1.3上。当按下按键1到4时,在实验板显示电路(U16)分别对应显示1,2,3,4。

扩展要求:

30H~33H中放置字母A~D,字母在LED上循环显示,默认的循环周期为50ms,当按下按键1到4时,A~D循环间隔分别变为1至4秒,无按键按下时,恢复默认的时间间隔。

根据程序要求得到如下程序流程图:

基本要求编程如下:

ORG 0000H MAIN: MOV 70H,#0

MOV 71H,#1

MOV 72H,#2

MOV 73H,#3

MOV 74H,#4

MOV DPTR,#4100H

MOV A,#03H

MOVX @DPTR,A READKEY:MOV A,#0FFH

MOV P1,A

MOV A,P1

JNB ACC.0,RP10

JNB ACC.1,RP11

JNB ACC.2,RP12

JNB ACC.3,RP13 OFF: MOV R0,#70H

MOV R3,#0FFH

SJMP LD0

RP10: MOV R0,#70H

MOV R3,#0FEH

AJMP LD0

RP11: MOV R0,#71H

MOV R3,#0FEH

AJMP LD0

RP12: MOV R0,#72H

MOV R3,#0FEH

AJMP LD0

RP13: MOV R0,#73H

MOV R3,#0FEH

AJMP LD0

LD0: MOV A,R3

MOV DPTR,#4102H

MOVX @DPTR,A

MOV A,@R0

MOV DPTR,#TAB

MOVC A,@A+DPTR

MOV DPTR,#4101H

MOVX @DPTR,A

ACALL DELAY

AJMP MAIN

TAB: DB 06H, 5BH, 4FH, 66H

DB 6DH, 7DH, 07H, 7FH DELAY: MOV R7,#02H DELAY1:MOV R6,#0FFH DELAY2: DJNZ R6,DELAY2

DJNZ R7,DELAY1

RET

SJMP$

END

扩展要求编程如下:

ORG 0000H

LJMP MAIN

ORG 1000H

MAIN: MOV SP,#60H

MOV 30H,#0AH

MOV 31H,#0BH

MOV 32H,#0CH

MOV 33H,#0DH

MOV A,#0FH

MOV DPTR,#4100H

MOVX @DPTR,A

READKEY:MOV A,#0FFH

MOV P1,A

MOV A,P1

JNB ACC.0,RP10

JNB ACC.1,RP11

JNB ACC.2,RP12

JNB ACC.3,RP13 OFF: MOV R7,#50

AJMP DISPLAY RP10: MOV R7,#100

AJMP DISPLAY RP11: MOV R7,#200

AJMP DISPLAY

RP12: MOV R7,#300

AJMP DISPLAY

RP13: MOV R7,#400

AJMP DISPLAY

DISPLAY: MOV R0,#30H

MOV R2,#0FEH

LD: MOV A,@R0

MOV DPTR,#TAB

MOV A,@A+DPTR

MOV DPTR,#4101H

MOVX @DPTR,A

MOV DPTR,#4102H

MOV A,R2

MOVX @DPTR,A

ACALL DELAY

INC R0

JNB ACC.3,LD1

RL A

MOV R2,A

AJMP LD

LD1: AJMP READKEY

DELAY : MOV R6,#500

DELAY1: DJNZ R6,DELAY1

DJNZ R7,DELAY

RET

TAB: DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH

DB 77H,7CH,39H,5EH,79H, 71H

实验四利用中断控制LED灯1.实验目的

(1)掌握单片机中断的基本原理。

(2)掌握单片机中断程序的编制方法。

2.预习要点

(1)单片机中断基本原理

(2)中断程序编制方法

3.实验设备

计算机、单片机实验箱、信号发生器。

4.实验内容

基本要求:

将信号发生器输出的脉冲信号连接到CPU的INT0上,将CPU的P1.0到P1.7和8个红色LED灯连接,脉冲信号为5V、100Hz,每输入一百个脉冲LED灯亮一次,并且LED灯顺序循环移位一次,形成跑马灯。

扩展要求:

在LED数码管上与跑马灯同步显示1~8,顺序为P1.0对应的灯亮时对应显示数字1,依次类推。

根据程序要求得到如下程序流程图:

主程序流程图中断子程序流程图

基本要求编程如下:

ORG 0000H

AJMP MAIN

ORG 0003H

AJMP EXINT0

MAIN: MOV SP,#70H

SETB IT0 ;设定为负边沿触发

SETB EX0 ;开INT0中断

SETB EA ;开总中断

MOV R3,#00H

MOV P1,#01H

HERE: SJMP HERE

EXINT0: INC R3

CJNE R3,#64H , NEXT ;计数值不到100,则返回

MOV R3,#00H

MOV A,P1 ;计数值到100则对P1口的内容进行移位

RL A

MOV P1,A

NEXT: RETI

扩展实验程序:

ORG 0000H

AJMP MAIN

ORG 0003H

AJMP EXINT0

ORG 0060H

MAIN: MOV SP,#60H

SETB IT0

SETB EX0

SETB EA

MOV 30H,#0

MOV 31H,#1

MOV 32H,#3

MOV 33H,#2

MOV 34H,#5

MOV 35H,#6

MOV 36H,#7

MOV 37H,#8 ;缓存器中送入1--8

MOV R3,#00H ;用于计脉冲

MOV P1,#00H ;关LED显示

MOV R4,#01H ;控制跑马灯

MOV R0,#30H

MOV R5,#0FEH

MOV R2,#8

MOV DPTR,#4100H

MOV A,#03H

MOVX @DPTR,A ;方式控制字送8155命令口

SJMP $

EXINT0:INC R3

CJNE R3,#64H,NEXT ;计算脉冲达到100

MOV R3,#00H ;清零,重新计数

MOV A,R4

MOV P1,A ;跑马灯显示

RL A

MOV R4,A

DISPLAY1:MOV R0,#30H

MOV R5,#0FEH

MOV A,@R0

MOV DPTR,#TAB

MOVC A,@A+DPTR

MOV DPTR,#4101H

MOVX @DPTR,A

MOV DPTR,#4102H

MOV A ,R5

MOVX @DPTR,A

ACALL DELAY ;

INC R0

DJNZ R2,NEXT

MOV R2,#8

MOV R0,#30H

NEXT: RETI

TAB: DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH

DELAY:MOV R7,#05H

DELAY1:MOV R6,#0FFH

DELAY2:DJNZ R6,DELAY2

DJNZ R7,DELAY1

RET

END

实验五单相方波波形发生器

1.实验目的

(1)掌握单片机定时器基本原理和使用方法。

(2)掌握单片机中断程序的编制方法。

2.预习要点

(1)单片机定时器基本原理

(2)中断程序编制方法

3.实验设备

计算机、单片机实验箱,示波器。

4.实验内容

基本要求:

(1)利用定时器在p1.1输出方波,方波的周期为50ms,占空比为50%。(2)改上例中方波的占空比为25%。

扩展要求:

利用LED数码管做一个包含时、分、秒的计时器

根据题目得如下结构框图:

主程序流程图中断子程序流程图

基本要求编程如下:

占空比为50%的方波(晶振为12M):ORG 0000H

AJMP MAIN

ORG 000BH

AJMP BRT0

MAIN: MOV SP,#60H

MOV TMOD,#01H

MOV TH0,#9EH

MOV TL0,#58H

MOV IE,#82H

SETB TR0

HERE: SJMP HERE

BRT0: CPL P1.1

MOV TH0,#9EH

MOV TL0,#58H

RETI

END

占空比为25%的方波(晶振为12M):ORG 0000H

AJMP MAIN

ORG 000BH

AJMP BRT0

MAIN: MOV SP,#60H

SETB P1.1

MOV TMOD,#01H

MOV TH0, ,#0CFH

MOV TL0, #2CH

MOV IE,#82H

SETB TR0

HERE: SJMP HERE

BRT0: CPL P1.1

JNB P1.1,D3

MOV TH0,#0CFH

MOV TL0,#2CH

RETI

D3: MOV TH0,#6DH

MOV TL0,#84H

RETI

END

扩展实验程序:

ORG 0000H

AJMP MAIN

ORG 000BH

AJMP BRT0

ORG 0060H

MAIN:MOV SP,#60H

MOV TMOD,#01H

MOV TH0,#3CH

MOV TL0,#0B0H

MOV IE,#82H

SETB TR0

MOV R5,#20

MOV R6,#60

MOV R7,#60

MOV 40H,#00H ;秒

MOV 41H,#00H ;分

MOV 42H,#00H ;时

MOV 30H,#00H

MOV 31H,#00H

MOV 32H,#00H

MOV 33H,#00H

MOV 34H,#00H

MOV 35H,#00H

HERE:LCALL LD0

SJMP HERE

BRT0:MOV TH0,#3CH

MOV TL0,#0B0H

DJNZ R5,NEXT

MOV A,40H

ADD A,#01H

MOV 40H,A

MOV B,#10

DIV AB

ACALL LD1

MOV 30H,B

MOV R5,#20

DJNZ R6,NEXT

MOV 40H,#00H

MOV A,41H

ADD A,#01H

MOV 41H,A

MOV B,#10

DIV AB

ACALL LD1

MOV 33H,A

MOV 32H,B

MOV R6,#60

DJNZ R7,NEXT

MOV 41H,#00H

MOV A,42H

ADD A,#01H

MOV 42H,A

ACALL LD2

MOV B,#10

DIV AB

MOV 35H,A

MOV 34H,B

MOV R7,#60

NEXT:RETI

LD1:CJNE A,#06H,LOOP

MOV A,#00H

SJMP LOOP

LD2:CJNE A,#0CH,LOOP MOV A,#00H

MOV 42H,#00H LOOP:RET

LD0:MOV R0,#30H

MOV R2,#6

MOV R3,#7FH

DISPLAY:MOV DPTR,#4100H MOV A,#03H

MOVX @DPTR,A

MOV DPTR,#TAB

MOVC A,@A+DPTR

MOV DPTR,#4101H

MOVX @DPTR,A

MOV DPTR,#4102H

MOV A,R3

MOVX @DPTR,A

ACALL DELAY

RR A

MOV R3,A

INC R0

DJNZ R2,DISPLAY

RET

TAB:DB 3FH,06H,5BH,4FH,66H

DB 6DH,7DH,07H,7FH,6FH

DB 77H,7CH,39H,5EH,79H

DB 71H

DELAY:MOV 57H,#250

DELAY1:DJNZ 57H,DELAY1

RET

END

实验六利用A/D完成电压测量及显示

1.实验目的

(1)掌握A/D转换器的基本原理和使用方法。

(2)掌握二进制数和BCD码之间的数值转换方法。

2.预习要点

(1)A/D转换器的基本原理和使用方法

(2)二进制数和BCD码之间的数值转换方法

3.实验设备

计算机、单片机实验箱,万用表。

4.实验内容

基本要求:

利用ACH1电位器,在0~5V范围调节A/D转换器0809的输入端ADIN0的电压,在显示电路上(U16)显示00~50数值。

扩展要求:

相同输入条件下,在显示电路上显示-25~+24的数值。

根据题目得如下结构框图:

主程序流程图 A/D转换子程序流程图

基本要求编程如下:

ORG 0000H

LJMP MAIN

ORG 0100H

MAIN:ACALL READAD

ACALL DISPLY

SJMP MAIN

READAD: MOV A,#00H

MOV DPTR,#8000H ;IN0,

MOVX @DPTR,A ;启动A/D转换

MOV R7,#80H ;等待A/D转换完成

AD0809:DJNZ R7,AD0809

MOVX A,@DPTR

MOV B,#50

MUL AB

MOV 40H,B ;将0~255范围内的数据转换成00~50范围内的数据

MOV A ,40H

MOV B,#10

DIV AB

MOV 50H,A

MOV 51H,B

RET

DISPL Y: MOV A,#03H

MOV DPTR,#4100H

MOVX @DPTR,A

MOV R0,#51H

MOV R6,#0FEH

LD0: MOV A,R6

MOV DPTR,#4102H

MOVX @DPTR,A

MOV DPTR,#4101H

MOV DPTR,#TAB

MOVC A,@A+ DPTR

ACALL DELAY12MS

DEC R0

MOV A,R6

JNB ACC.1,LD01

RL A

MOV R6,A

AJMP LD0

LD01: RET

TAB: DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH

DELAY12MS: MOV R4,#02H

DL2: MOV R5,#0FBH

DL1: DJNZ R5,DL1

DJNZ R4,DL2

RET

END

扩展实验编程如下:

ORG 0000H

AJMP MAIN

ORG 0030H

MAIN: MOV SP,#60H

MOV DPTR,#4100H

MOV A,#0FH

MOVX @DPTR,A

MOV DPTR,#8000H

MOV A,#00H

MOVX @DPTR,A

ACALL DELAY

ACALL DELAY

ACALL GETV

ACALL DISPLAY ;调用显示程序

LJMP MAIN ;循环主程序

GETV: MOV DPTR,#8000H

MOVX A,@DPTR

MOV B,#50

MUL AB ; A*50/255,A先乘以50。高8位放在B,低8位放在A MOV A,B ; 取出高8位,相当于除以了256,右移8位

CLR C

SUBB A,#25 ;减25

JB ACC.7,FUSHU ;最高位不等于0跳转

MOV 50H,#10H

FUSHU:MOV 50H,#14H

CPL A ;有符号数取其正值

NEXT: MOV B,#10 ;分两位数显示

DIV AB

MOV 51H,A

MOV 52H,B

RET

DISPLAY:MOV DPTR,#4102H

MOV A,#0FFH

MOVX @DPTR,A

实验七利用DAC0832完成波形发生器

1.实验目的

(1)掌握D/A转换器的基本原理和使用方法。

2.预习要点

(1)D/A转换器的基本原理和使用方法。

3.实验设备

计算机、单片机实验箱,示波器。

4.实验内容

基本要求:

利用实验板上的0832D/A芯片,将示波器连接到滤波电路后,通过程序产生周期为20ms的三角波。

扩展要求:

利用实验板上的0832D/A芯片和电位器,将示波器连接到滤波电路后,通过程序产生周期或幅度可调的锯齿波。

根据题目要求构造如下程序流程图:

基本要求编程如下:

ORG 0000H

AJMP MAIN

ORG 0050H MAIN: MOV SP,#60H

CLR A

MOV DPTR,#4000H DOWN: MOVX @DPTR,A

ACALL DELAY

INC A

JNZ DOWN

MOV A,#0FEH UP: MOVX @DPTR,A

ACALL DELAY

DEC A

JNZ UP

SJMP DOWN DELAY: MOV R7,#41 DELAY1: DJNZ R7,DELAY1

RET

END

51单片机实验报告94890

《单片机与接口技术》实验报告 信息工程学院 2016年9月

辽东学院信息技术学院 《单片机与接口技术》实验报告 姓名:王瑛 学号: 0913140319 班级: B1403 专业:网络工程 层次:本科 2016年9月

目录 实验题目:实验环境的初识、使用及调试方法(第一章) 实验题目:单片机工程初步实验(第二章) 实验题目:基本指令实验(第三章)4 实验题目:定时器/计数器实验(第五章)4 实验题目:中断实验(第六章)4 实验题目:输入接口实验(第八章)4 实验题目:I/O口扩展实验(第九章)4 实验题目:串行通信实验(第十一章)4 实验题目:A/D,D/A转换实验(第十七章)4

实验题目:实验环境的初识、使用及调试方法实验 实验类型:验证性实验课时: 1 时间:2016年10月24日 一、实验内容和要求 了解单片机的基础知识 了解51单片机的组成和工作方法 掌握项目工程的建立、编辑、编译和下载的过程方法 熟练单片机开发调试工具和方法 二、实验结果及分析 单片机最小系统的构成: Keil集成开发环境:

STC-ISP:

实验题目:单片机工程初步实验 实验类型:验证性实验课时: 1 时间:2016 年10 月24 日一、实验内容和要求 点亮一个LED小灯 程序下载到单片机中 二、实验结果及分析 1、点亮一个LED小灯 点亮LED小灯的程序: #include //包含特殊功能寄存器定义的头文件 sbit LED = P0^0; sbit ADDR0 = P1^0; //sbit必须小写,P必须大写 sbit ADDR1 = P1^1; sbit ADDR2 = P1^2; sbit ADDR3 = P1^3; sbit ENLED = P1^4; void main() { ENLED = 0; ADDR3 = 1; ADDR2 = 1; ADDR1 = 1; ADDR0 = 0; LED = 0; //点亮小灯 while (1); //程序停止 } 2、程序下载 首先,我们要把硬件连接好,把板子插到我们的电脑上,打开设备管理器查看所使用的COM 口,如图所示:

单片机实验报告

仲恺农业工程学院实验报告纸 自动化学院(院、系)工业自动化专业144班组单片机与嵌入式系统实验课学号201421714406姓名黄国盛实验日期2016年11月05日教师评定 实验一Keil C51集成开发环境的使用练习 一、实验目的 熟悉Keil C51集成开发环境的使用方法。 二、实验设备及器件 IBM PC机一台 三、实验内容 按照Keil C51软件的使用说明进行Keil C51集成开发环境的安装和使用练习,然后按照以下内容建立并编译产生HEX文件。 ORG0000H LJMP Main ORG00F0H Main: MOV R7,#0 Loop: MOV R6,#0 DJNZ R6,$ DJNZ R6,$ DJNZ R6,$ DJNZ R6,$ DJNZ R7,Loop;延时 CPL P1.0;P1.0取反 CPL P1.1;P1.1取反 CPL P1.2;P1.2取反 CPL P1.3;P1.3取反 CPL P1.4;P1.4取反

CPL P1.5;P1.5取反 CPL P1.6;P1.6取反 CPL P1.7;P1.7取反 SJMP Main END 四、实验要求 熟练掌握Keil C51集成开发环境的工程建立、编辑与编译功能。 五、实验预习要求 认真阅读Keil C51软件的使用说明。 六、实验思考题 试写一条把片内RAM50H~59H单元清零的程序。 实现程序如下: ORG0000h LJMP Main ORG0100H Main:MOV R0,#50H;立即数50H(内部RAM地址)传送到R0中 MOV R1,#10;立即数10(循环次数为10次)传送到R1中 MOV A,#0;立即数0传送到A,中将累加器A的值清0 LOOP:MOV@R0,A;将R0内容所指向的单元清0 INC R0;R0内容加1,修改地址指针 DJNZ R1,LOOP;减1不为0判断,若为真跳回循环,否,则运行下一语句 END;结束 七、实验总结 通过实验,熟悉80C51指令系统,熟悉Keil C51集成开发环境的使用方法,熟练掌握Keil C51集成开发环境的工程建立、编辑与编译功能。加深对内部存储器读写的认识。

北京交通大学模拟电子电路实验报告

《模拟电子技术》课程实验报告 集成直流稳压电源的设计 语音放大器的设计

集成直流稳压电源的设计 一、实验目的 1、 掌握集成直流稳压电源的设计方法。 2、 焊接电路板,实现设计目标 3、 掌握直流稳压电源的主要性能指标及参数的测试方法。 4、 为下一个综合实验——语音放大电路提供电源。 二、技术指标 1、 设计一个双路直流稳压电源。 2、 输出电压 Uo = ±12V , 最大输出电流 Iomax = 1A 。 3、 输出纹波电压 ΔUop-p ≤ 5mV , 稳压系数 S U ≤ 5×10-3 。 4、 选作:加输出限流保护电路。 三、实验原理与分析 直流稳压电源的基本原理 直流稳压电源一般由电源变压器T 、整流滤波电路及稳压电路所组成。 基本框图如下。各部分作用: 1、电源变压器:降低电压,将220V 或380V 的电网电压降低到所需要的幅值。 2、整流电路:利用二极管的单向导电性将电源变压器输出的交流电压变换成脉动的直流电压,经整流电路输出的电压虽然是直流电压,但有很大的交流分量。 直流稳压电源的原理框图和波形变换 整流 电路 U i U o 滤波 电路 稳压 电路 电源 变压器 ~

3、滤波电路:利用储能元件(电感、电容)将整流电路输出的脉动直流电压中 的交流成分滤出,输出比较平滑的直流电压。负载电流较小的多采用电容滤波电路,负载电流较大的多采用电感滤波电路,对滤波效果要求高的多采用电容、电感和电阻组成的复杂滤波电路。 单向桥式整流滤波电路 不同R L C的输出电压波形 4、稳压电路:利用自动调整的原理,使输出电压在电网电压波动和负载电流变化时保持稳定,即输出电流电压几乎不变。 常用的稳压电路有两种形式:一是稳压管稳压电路,二是串联型稳压电路。二者的工作原理有所不同。稳压管稳压电路其工作原理是利用稳压管两端的电压稍有变化,会引起其电流有较大变化这一特点,通过调节与稳压管串联的限流电阻上的压降来达到稳定输出电压的目的。它一般适用于负载电流变化较小的场合。串联型稳压电路是利用电压串联负反馈的原理来调节输出电压的。集成稳压电源事实上是串联稳压电源的集成化。实验中为简化电路,我们选择固定输出三端稳压器作为电路的稳压部分。固定输出三端稳压器是指这类集成稳压器只有三个管脚输出电压固定,这类集成稳压器分成两大类。一类是78××系列,78标识为正 输出电压,××表示电压输出值。另一类是79××系列,79表示为负输出电压,××表示 电压输出值。

单片机实验报告书

并行I/O接口实验 一、实验目的 熟悉掌握单片机并行I/O接口输入和输出的应用方法。 二、实验设备及器件 个人计算机1台,装载了Keil C51集成开发环境软件。https://www.360docs.net/doc/a215584686.html,单片机仿真器、编程器、实验仪三合一综合开发平台1台。 三、实验内容 (1)P1口做输出口,接八只发光二极管,编写程序,使发光二极管延时(0.5-1秒)循环点亮。实验原理图如图3.2-1所示。 图3.2-1单片机并行输出原理图 实验程序及仿真 ORG 0000H LJMP START ORG 0100H START:MOV R2,#8 MOV A,#0FEH LOOP:MOV P1,A LCALL DELAY RL A

DJNZ R2,LOOP LJMP START DELAY:MOV R5,#20 D1:MOV R6,#20 D2:MOV R7,#248 D3:DJNZ R7,D3 DJNZ R6,D2 DJNZ R5,D1 RET END 中断实验 一、实验目的 熟悉并掌握单片机中断系统的使用方法,包括初始化方法和中断服务程序的编写方法。 二、实验设备及器件

个人计算机1台,装载了Keil C51集成开发环境软件。 https://www.360docs.net/doc/a215584686.html,单片机仿真器、编程器、实验仪三合一综合开发平台1台。 三、实验内容 (2)用P1口输出控制8个发光二极管LED1~LED8,实现未中断前8个LED闪烁,响应中断时循环点亮。 实验程序及仿真 ORG 0000H LJMP MAIN ORG 0003H LJMP INT00 ORG 0010H MAIN: A1:MOV A,#00H MOV P1,A MOV A,#0FFH MOV P1,A SETB EX0 JB P3.2,B1 SETB IT0 SJMP C1 B1:CLR IT0 C1:SETB EA NOP SJMP A1 INT00:PUSH Acc PUSH PSW MOV R2,#8 MOV A,#0FEH LOOP: MOV P1,A LCALL DELAY RL A DJNZ R2,LOOP

北京交通大学大学物理学_下_答案

新教材下册习题解答(教师用) 第12章 12.1 一个封闭的立方体形的容器,内部空间被一导热的、不漏气的、可移动的隔板分为两部分,开始其内为真空,隔板位于容器的正中间(即隔板两侧的长度都为l 0),如图12-30所示.当两侧各充以p 1,T 1与 p 2,T 2的相同气体后, 长度之比是多少)? 解: 活塞两侧气体的始末状态满足各自的理想气体状态方程 左侧: T pV T V p 111= 得, T pT V p V 1 11= 右侧: T pV T V p 222= 得, T pT V p V 2 22= 122121T p T p V V = 即隔板两侧的长度之比 1 22121T p T p l l = 12.2 已知容器内有某种理想气体,其温度和压强分别为T =273K,p =1.0×10-2 atm ,密度32kg/m 1024.1-?=ρ.求该气体的摩尔质量. 解: nkT p = (1) nm =ρ (2) A mN M = (3) 由以上三式联立得: 12.3 可用下述方法测定气体的摩尔质量:容积为V 的容器内装满被试验的气体,测出其压力为p 1,温度为T ,并测出容器连同气体的质量为M 1,然后除去一部分气体,使其压力降为p 2,温度不变,容器连同气体的质量为M 2,试求该气体的摩尔质量. 解: 221V p V p = (1) ( )()RT M M M V V p 21 22-=- (2) (1)、(2)式联立得: ()()()V p p RT M M V p V p p RT M M M 21212 1221--= ??? ? ??--= 12.4在实验室中能够获得的最佳真空相当于大约10-14atm (即约为10-10mmHg 的压强),试问在室温(300K )下在这样的“真空”中每立方厘米内有多少个分子? 解: 由nkT p = 得, 12.5已知一气球的容积V =8.7m 3,充以温度t 1=150 C 的氢气,当温度升高到370 C 时,维持其气压

51单片机数字电压表实验报告

微控制器技术创新设计实验报告 姓名:学号:班级: 一、项目背景 使用单片机AT89C52和ADC0808设计一个数字电压表,能够测量0-5V之间的直流电压值,四位数码显示。在单片机的作用下,能监测两路的输入电压值,用8位串行A/D转换器,8位分辨率,逐次逼近型,基准电压为 5V;显示精度伏。 二、项目整体方案设计 ADC0808 是含8 位A/D 转换器、8 路多路开关,以及与微型计算机兼容的控制逻辑的CMOS组件,其转换方法为逐次逼近型。ADC0808的精度为 1/2LSB。在AD 转换器内部有一个高阻抗斩波稳定比较器,一个带模拟开关树组的256 电阻分压器,以及一个逐次通近型寄存器。8 路的模拟开关的通断由地址锁存器和译码器控制,可以在8 个通道中任意访问一个单边的模拟信号。

三、硬件设计 四、软件设计#include<> #include""

#define uchar unsigned char #define uint unsigned int sbit OE = P2^7; sbit EOC=P2^6; sbit START=P2^5; sbit CLK=P2^4; sbit CS0=P2^0; sbit CS1=P2^1; sbit CS2=P2^2; sbit CS3=P2^3; uint adval,volt; uchar tab[]={0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82,0xF8, 0x80,0x90,0x88,0x83,0xC6,0xA1,0x86,0x8E}; void delayms(uint ms) {

单片机实验报告

南京晓庄学院电子工程学院 实验报告 课程名称:单片机系统设计与应用 姓名:森 专业:电子信息科学与技术 年级:14级 学号:05 2016年12 月1 日

实验项目列表 序号实验项目名称成绩指导教师 1 单片机仿真软件的使用 2 单片机I/O接口应用实验——流水灯 3 外部中断实验——工业顺序控制模拟 4 定时/计数器实验——矩形波 5 定时/计数器实验——计数器 6 综合实验 7 8 9 10 注: 1、实验箱端口为com6。 2、芯片选择切换到51 3、停止运行使用实验箱上的复位按钮

实验室号:___ 实验时间:成绩: 实验一仿真软件的使用 1.实验目的和要求 1)熟悉Keil C51软件界面,以及编辑、编译、运行程序的步骤; 2)掌握单片机仿真软件使用和调试的方法。 2.实验原理 Keil C51软件使用 在Keil C51集成开发环境下,建立一个工程并编辑源程序,熟悉Keil C51集成开发环境下各种菜单、命令的使用。 3.主要仪器设备(实验用的软硬件环境) 安装有Keil C51软件的PC机1台 4.操作方法与实验步骤 Keil C51软件使用 (1)建立用户文件夹 (2)建立工程 (3)建立文件并编码。输入以下源程序,并保存在项目所在的目录中 (4)把文件加入工程中 (5)编译工程。编译时观察在界面下方的“Build”页中的到编译错误信息和使用的系统资源情况等。 (6)调试。利用常用调试命令,如复位、运行、暂停、单步、单步跳过、执行完当前子程序、运行到当前行、下一状态、打开跟踪、观察跟踪、反汇编窗口、观察窗口、代码作用范围分析、1#串行窗口、内存窗口、性能分析、工具按钮等命令进行调试,观察并分析调试结果。 (7)目标代码文件的生成。运行生成相应的.HEX文件。 5.实验内容及程序 1)从DATA区地址起始地址为40H的连续10个内存单元的内容传送到XDATA区起始地址为2000H的10个内存单元中。 注意:DATA区地址起始地址为40H的连续10个内存单元必须先赋初值。 P83-5源程序 #include #define uchar unsigned char xdata unsigned char buffer1[10]_at_ 0x2000; //在xdata区定义数组变量BUF1,首地址为2000H data unsigned char buffer2[10]_at_ 0x40; //在data区定义数组变量BUF2,首地址为40H void main(void)

51单片机实验报告

51单片机实验报告

实验一 点亮流水灯 实验现象 Led灯交替亮,间隔大约10ms。实验代码 #include void Delay10ms(unsigned int c); void main() { while(1) { P0 = 0x00; Delay10ms(50); P0 = 0xff; Delay10ms(50); } }

void Delay10ms(unsigned int c) { unsigned char a, b; for (;c>0;c--) { for (b=38;b>0;b--) { for (a=130;a>0;a--); } } } 实验原理 While(1)表示一直循环。 循环体首先将P0的所有位都置于零,然后延时约50*10=500ms,接着P0位全置于1,于是LED全亮了。接着循环,直至关掉电源。延迟函数是通过多个for循环实现的。 实验2 流水灯(不运用库函数) 实验现象 起初led只有最右面的那一个不亮,半秒之后从右数第二个led

也不亮了,直到最后一个也熄灭,然后led除最后一个都亮,接着上述过程 #include #include void Delay10ms(unsigned int c); main() { unsigned char LED; LED = 0xfe; while (1) { P0 = LED; Delay10ms(50); LED = LED << 1; if (P0 == 0x00) { LED = 0xfe; } } } void Delay10ms(unsigned int c)

北京交通大学 电力系统分析期末考试题答案

2011-2012年度电力系统分析期末考试题(A 卷)答案 一、填空题(每空1分,共15分) 1.1)保证供电可靠性、2)保证电能质量3)保证运行经济性。 2.降低 瓦解。 3.1)直观性2)稀疏性3)对称性 4. 第一个周期 半个周期 。 5. P 和Q , V θ 。 6. ∑∑?∑ ?==022//Z Z Z Z Z 7.短路电压百分比Vs%. 二、(20分) 解:输电线用π型等值电路,原图等效电路为 (1)计算线路首端功率和输电效率 110.3310016.522 L R rl = = ??Ω=Ω 110.4310021.522 L X xl = = ??Ω=Ω 6 4 22 2.6510 100 5.310C B bl S S --==???=?(3分) 用额定电压计算线路产生的充电功率,并将其分为两部分,得 2 4 2 11 5.310 110var 3.2065var 2 2 B C N Q B V M M ?-=- =- ???=- 将B Q ?分别接于节点A 和B ,作为节点负荷的一部分,故 2(3020 3.2065)(3016.7935)?=+=+-=+LD B S S j Q j j M VA j M VA (2分) 由额定电压计算线路功率损耗 学院 班级 学号 姓名 ------------------------------------装 -------------------------------------------------------------------订--------------------------------------线-----------------

C51单片机实验报告

实验报告册 课程名称:单片机原理与应用B 指导老师:xxx 班级:xxx 姓名:xxx 学号:xxx 学期:20 —20 学年第学期南京农业大学工学院教务处印

实验目录实验一:指示灯/开关控制器 实验二:指示灯循环控制 实验三:指示灯/数码管的中断控制 实验四:电子秒表显示器 实验五:双机通信

姓名:学号:班级:成绩: 实验名称:指示灯/开关控制器 一、实验目的: 学习51单片机I/O口基本输入/输出功能,掌握C语言的编程与调试方法。 二、实验原理: 实验电路原理图如图所示,图中输入电路由外接在P1口的8只拨动开关组成;输入电路由外接在P2口的8只低电平驱动的发光二极管组成。此外,还包括时钟电路、复位电路和片选电路。 在编程软件的配合下,要求实现如下指示灯/开关控制功能:程序启动后,8只发光二极管先整体闪烁3次(即亮→暗→亮→暗→亮→暗,间隔时间以肉眼可观察到为准),然后根据开关状态控制对应发光二极管的亮灯状态,即开关闭合相应灯亮,开关断开相应灯灭,直至停止程序运行。 三、软件编程原理为; (1)8只发光二极管整体闪烁3次

亮灯:向P2口送入数值0; 灭灯:向P2口送入数值0FFH; 闪烁3次:循环3次; 闪烁快慢:由软件延时时间决定。 (2)根据开关状态控制灯亮或灯灭 开关控制灯:将P1口(即开关状态)内容送入P2口;无限持续:无条件循环。 四、实验结果图: 灯泡闪烁:

按下按键1、3、5、7:

经检验,其余按键按下时亦符合题目要求。 五、实验程序: #include"reg51.h" void delay(unsigned char time) { unsigned int j=15000; for(;time>0;time--) for(;j>0;j--); } void main(){ key,char i; for(i=0;i<3;i++) { P2=0x00; delay(500); P2=0xff; delay(500) } while(1) { P2=P3;

北京交通大学数字集成电路复习

数字集成电路设计期中考试复习提纲 第一章绪论 一、基本概念 1. 摩尔定律 2. 数字集成电路的抽象层次划分 3. 数字集成电路的基本设计流程 4. 电压传输特性 5. 再生性 6. DRC 7. LVS 二、基本计算 1. 芯片成品率的计算 三、复习题 1.根据实验一的内容,简述数字集成电路设计的基本流程。 2.简述数字集成电路设计的抽象层次。 3. Tanner 中包含哪些主要的工具?分别完成什么功能? 4. 简述扇入和扇出的概念;当增大驱动门的扇出时,对该驱动门的动态性能 有何影响?试分析说明。 第二章制造工艺 一. 基本概念 1. 阱 2. 衬底 3. PMOS NMOS CMOS 4. 有缘区 5. 光刻 6. 掩膜版 7. 简化的CMOS 工艺流程 8. CMOS 集成电路的工艺分层结构 二. 复习题 1. 结合实验二的内容,通过简述LEDIT 绘制NMOS 晶体管的主要步骤,描述其工艺分层结构。 2. 什么是掩膜版,掩膜版如何实现CMOS 工艺分层? 第三章器件 一.基本概念 1. 耗尽区 2. MOS 晶体管分类及导通原理 3. MOS 晶体管工作区域 4. MOS 晶体管等效电阻 5. MOS 管电容模型 二.基本公式及计算 1. 二极管电流公式 2. 二极管手工分析模型及简单电路分析 3. 二极管节电容计算公式 4. MOS 管手工分析模型

a) MOS 管漏极电流公式(MOS 管工作区域的判断) b) MOS 管沟道电容计算公式 三.复习题 1. MOS 管的工作区域是怎么划分的?简述各个工作区域的工作原理。 2. MOS 管的电容由哪几部分组成? 3. 简述MOS 管在不同工作区域下沟道电容的变化情况。 4. 相关计算题。 第四章导线 一.基本概念 1. 导线的寄生参数 2. 导线寄生电容的产生原理 3. 导线集总模型与分布模型 4. 传输线 5. 反射系数 二.基本公式及计算 1. 导线的集总RC 模型分析 2. Elmore 延时计算公式 3. 导线的分布rc 模型分析 三、复习题 1. 简述集总RC 模型和分布rc 模型。 2. 简述传输线模型和分布rc 模型之间的区别。 3. 假设信号源内阻为零,分析不同负载阻抗条件下传输线响应。 4. 相关计算题。 第五章CMOS 反相器 一.基本概念与基本原理 1. 反相器的基本工作原理 2. 反相器的基本指标 3. 开关阈值 4. 本征电容 5. 等效扇出 二.基本公式与计算 1. 开关阈值的计算、开关阈值与PMOS 对NMOS 尺寸比的关系 2. 噪声容限、增益的计算 3. 传播延时的计算 4. 反相器链的延时 5. 反相器功耗的计算 6. 最优电源电压的计算 三、复习题 1. 分析反相器在不同工作状态下,PMOS 和NMOS 分别处在的工作区域,并画出VTC 曲线图示说明。 2. 反相器功耗由哪几部分组成?分析说明减小反相器功耗的主要手段。 3. 相关计算题。

单片机中断实验报告

人的一生要疯狂一次,无论是为一个人,一段情,一段旅途,或一个梦想 ------- 屠呦呦 实验三定时器中断实验 一、实验目的 1、掌握51单片机定时器基本知识; 2、掌握定时器的基本编程方法; 3、学会使用定时器中断。 二、实验内容 1、利用定时器设计一个秒表,计数范围为0—59,并在数码管实时显示。 三、实验设备 PC 机一台、单片机实验箱 主要器件:AT89C52、7SEG-BCD、 四、实验步骤 1、使用Proteus设计仿真原理图; 2、使用Keil设计程序; 3、联合调试仿真。 五、实验流程图 六、实验程序与结果 #include #define uint unsigned int #define uchar unsigned char sbit F=P2^1;

void timer1_init() { TMOD=0x10;//将定时器1设置为工作方式1 TH1=(65536-6000)/256;//定时器每加一时间为1/fsoc,定时时间为1/500 //(1/500)s/(1/3000000)s=6000 TL1=(65536-6000)%256;//fsoc=3000000,所以装入16位定时器中值为65536-6000 EA=1; ET1=1; TR1=1; } void main() { timer1_init(); while(1); } void timer1() interrupt 3 { TH1=(65536-6000)/256;//每次进入中断,重装初值TL1=(65536-6000)%256; F=~F;//每次进入中断P1.1口取反 } #include #define uint unsigned int #define uchar unsigned char sbit F=P2^1; void timer0_init() {TMOD=0x01;//将定时器0设置为工作方式1 TH0=(65536-83)/256;//定时器每加一时间为1/fsoc,定时时间为2Khz,既500us //500us/6us=83.3333 TL0=(65536-83)%256;//fsoc=6000000,所以装入16位定时器中值为65536-83 EA=1; ET0=1; TR0=1; }void main() { timer0_init(); while(1); } void timer0() interrupt 1 { TH0=(65536-83)/256;//每次进入中断,重装初值 TL0=(65536-83)%256; F=~F;//每次进入中断P1.1口取反,表示定时时间到 } #include // 包含51单片机寄存器定义的头文件 #define seg_data P1 #define seg_data2 P3 #define uint unsigned int sbit D1=P2^0; //将D1位定义为P2.0引脚 uint counter=0; unsigned int unit=0,decade=0,avs=0;//time=0;

北京市大学生物理实验竞赛方案

北京市大学生物理实验竞赛方案

附件2: 北京市大学生物理实验竞赛方案 在成功举办和两届北京市大学生物理实验竞赛的基础上,根据市教委《关于印发北京市大学生学科竞赛管理办法的通知》(京教高办〔〕2号)精神,我委决定委托北京交通大学承办北京市大学生物理实验竞赛。为保证比赛公平顺利进行,制定本方案。 一、竞赛目的举办大学生物理实验竞赛是为了激发大学生对物理实验的兴趣与潜能,使学生广泛参与到物理实践中来;在实践中培养、提高大学生的创新能力、实践能力和团队协作意识;促进物理实验教学改革,不断提高大学物理实验教学的质量,为高素质人才培养奠定基础。 二、竞赛主题与内容 (一)本次竞赛共设4个题目,详见北京市大学生物理实验竞赛题目。(二)竞赛方式及要求 1.每组参赛选手限选其中一个题目在本校进行准备并完成全部实验。实验所需设备及费用由各校自行解决,所需通用仪器和特殊需要的仪器,请提前通知竞赛秘书组。 2.参赛学生须预先提交《北京市大学生物理实验竞赛项目说明》及

《北京市大学生物理实验竞赛推荐教师初评表》。竞赛时,参赛队伍需携带参赛作品,当场操作或陈述论文,并进行答辩。 3.参赛作品应力求做到原理明确,装置简便且易于操作,方法巧妙且手段新颖、有特色,现场操作规范,测量结果准确,陈述清晰,回答问题正确。 三、报名与参赛 (一)参赛条件 参赛对象为在京各类普通高等学校秋季学期在校本科大学生。 (二)报名方式 1.请参赛学校将报名信息表,于7月2日前报送竞赛组委会办公室。 2.学生参赛报名由各高校统一组织,每校限报6个队(论文组为2队或以上者,可报7队),每队不超过3名学生。 3.学生参赛报名截止日期为10月30日,由学校统一将电子版发至联系人信箱。 四、竞赛时间及地点 竞赛定于11月14日在北京交通大学举行。11月7日参赛人员可到竞赛现场熟悉环境或预作。 五、奖励

51单片机实验报告

实验一数据传送实验 实验内容: 将8031内部RAM 40H—4FH单元置初值A0H—AFH,然后将片内RAM 40H—4FH单元中的数据传送到片内RAM 50H—5FH单元。将程序经模拟调试通过后,运行程序,检查相应的存储单元的内容。 源程序清单: ORG 0000H RESET:AJMP MAIN ORG 003FH MAIN:MOV R0,#40H MOV R2,#10H MOV A,#0A0H A1:MOV @R0,A INC R0 INC A DJNZ R2, A1 MOV R1,#40H MOV R0, #50H MOV R2, #10H A3: MOV A, @R1 MOV @R0, A INC R0 INC R1 DJNZ R2, A3 LJMP 0000H 思考题: 1. 按照实验内容补全程序。 2. CPU 对8031内部RAM存储器有哪些寻址方式? 直接寻址,立即寻址,寄存器寻址,寄存器间接寻址。 3. 执行程序后下列各单元的内容是什么? 内部RAM 40H~4FH ___0A0H~0AFH______________________ 内部RAM 50H~5FH___0A0H~0AFH_______________________ 实验二多字节十进制加法实验

实验内容: 多字节十进制加法。加数首地址由R0 指出,被加数和结果的存储单元首地址由R1指出,字节数由R2 指出。将程序经模拟调试通过后,运行程序,检查相应的存储单元的内容。源程序清单:ORG 0000H RESET: AJMP MAIN ORG 0100H MAIN: MOV SP, #60H MOV R0, #31H MOV @R0, #22H DEC R0 MOV @R0, #33H MOV R1, #21H MOV @R1, #44H DEC R1 MOV @R1, #55H MOV R2, #02H ACALL DACN HERE: AJMP HERE DACN: CLR C DAL: MOV A, @R0 ADDC A, @R1 DA A MOV @R1, A INC R0 INC R1 DJNZ R2,DAL CLR A MOV ACC.0 , C RET 思考题: 1. 按照实验内容补全程序。 2. 加数单元、被加数单元和结果单元的地址和内容为? 3130H,2120H,6688H 3. 如何检查双字节相加的最高位溢出? 看psw.3 的溢出标志位ov=1 则溢出 4. 改变加数和被加数,测试程序的执行结果。 实验三数据排序实验

单片机实验报告含仿真

单片机原理及应用课程 实验报告 专业: 班级: 姓名: 学号:

实验一、keilC51及proteus软件的使用 一、实验目的: 1、掌握keil和proteus软件的基本操作 2、通过具体实例掌握keil和proteus软件的使用。 二、实验原理: keil使用步骤,proteus使用步骤 三、程序: 四、实验结果分析: 五、总结:学会了使用keil和proteus软件,掌握了利用keil和proteus软 件进行仿真的步骤。

实验二、并行输入/输出接口实验 一、实验目的: 1、进一步熟悉keil仿真软件、proteus仿真软件的使用。 2、了解并熟悉单片机I/O口和LED灯的电路结构,学会构建简单的流水灯电路。 3、掌握C51中单片机I/O口的编程方法和使用I/O口进行输入输出的注意事项。 二、实验原理: MCS 51单片机的串行口在实际使用中通常用于三种情况:利用方式0 扩展并行i/0 接口:利用方式1 实现点对点的双机通信;利用方式2 或方式3 实现多机通信。利用方式0 扩展并行i/0 接口MCS 5 1 单片机的串行口在方式0 时,若外接一个串入并出的移位寄存器,就可以扩展并行输出口;若外接一个并入串出的移位寄存器,就可以扩展并行输入口。 三、程序: #include sbit P1_0=P1^0; void main() { unsigned char i; unsigned int j;

i=0x01; for(;;) { P1_0=0; SBUF=I; while(!TI) {i} P1_0=1;TI=0; for(j=0;j<=254;j++){;} i=i*2; if(i==0x00) i=0x01; } } 四、实验结果分析: 五、总结:进一步熟悉了keil仿真软件、proteus仿真软件的使用。了解并熟悉单片机I/O口和LED灯的电路结构,学会了构建简单的流水灯电路。掌握了C51中单片机I/O口的编程方法和使用I/O口进行输入输出的注意事项。

51单片机信号发生器实验报告

微控制器技术创新设计实验报告姓名:学号:班级: 一、项目背景 信号发生器也叫做振荡器或是信号源,在现在的科技生产实践中有着广泛而重要的应用。现在的特殊波形发生器在价格上不够经济,有些昂贵。而基于AT89C51单片机的函数信号发生器可以满足此要求。根据傅里叶变换,各种波形均可以用三角函数的相关式子表示出来。函数信号发生器能够产生多种波形,如三角波、锯齿波、矩形波、方波和正弦波。 二、项目整体方案设计 可以利用单片机编程的方法来实现波形的输出。可选用AT89C51作为控制器,输出相应波形的数字信号,再用D/A 转换器输出相应波形的模拟信号。用DAC0832作为D/A转换器,再经过两级放大后输出,最终在示波器上显示。可以使用按键扫描来实现波形的变化

三、硬件设计 四、软件设计 #include #define uchar unsigned char #define uint unsigned int Const tab[]={0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0xff,0xff ,0xff,0xff,0xff,0xff,0xff,0xff}; void delay(void) { uchar i; for(i=230;i>0;i--); }

void main() { uchar i; while(1) { for(i=0;i<18;i++) { P1=tab[i]; delay(); } } } 五、实验结果

六、项目总结 通过这次实验设计,锻炼我们综合运用知识,提出问题,分析问题,及解决问题的能力。我感慨颇多,在着手设计的这段日子里,我又学到了很多东西。特别是理论联系实际。我认为掌握单片机的应用及开发技术是最基本的也是必要的。单片机是以后从事相关嵌入式研发最为基本的入门芯片。所以学好单片机是我们电子类的必要任务。通过这次单片机课程设计的顺利完成,离不开付老师指导,也离不开班上同学的耐心帮助。在此,我对所有帮助过我的老师和同学表示我真挚的感谢!

实验报告(单片机)

实 验 报 告 实验课程:单片机原理及应用 实验名称:实验1 ——原理图绘制练习 班级:13自动化2班学号:201310320226 :李浩 教师:张玲成绩: 实验日期:2016 年 5 月24 日

一、实验目的:学习Proteus 软件的使用,掌握单片机原理图的绘图方法 二、实验内容: 1、绘制“计数显示器”电路原理图; 2、利用提供的hex文件验证此电路的运行效果。 三、实验要求: 提交的实验报告中应包括:1、绘图方法简述,要求说明元件与电源的选取、摆放及属性编辑,总线与标签的画法等内容;2、电路原理图;3、仿真运行效果展示,要求就仿真文件加载方法及3~4幅运行截图进行简要说明;4、实验小结,说明遇到的主要问题或实验1体会等。 参考电路原理图如下: 元件类别电路符号元件名称 Microprocessor ICs “U1”80C51 Miscellaneous “X1”/12MHz CRYSTAL Capacitors “C1”~“C2”/1nF CAP Capacitors “C3”/22μF CAP-ELEC Resistors Packs “RP1”/7-100ΩRESPACK-7 Resistors “R1”/100ΩRES Optoelectronics “LED1”~“LED2”7SEG-COM-CAT-GRN Switches & Relays “BUT”BUTTON ————————————————

1、绘图方法简述 Protues绘图:打开之后首先新建设计,然后按照元件英文名查找器件,单击鼠标即可放置好元件,单击引脚即可连好导线。点击左方标签后即可在相应导线上放置标签,点击总线图标后即可画出总线。Keic中生成hex文件后在protues中双击单片机芯片即可下载仿真程序。点击左下角播放开始仿真。 2、电路原理图

单片机实验报告

本科生实验报告 实验课程单片机原理及应用 学院名称核技术与自动化工程学院 专业名称电气工程及其自动化 学生姓名 学生学号 指导教师任家富 实验地点6C902 实验成绩 二〇一五年三月二〇一五年六月 单片机最小系统设计及应用 摘要 目前,单片机以其高可靠性,在工业控制系统、数据采集系统、智能化仪器仪表等领域得到极其广泛的应用。因此对于在校的大学生熟练的掌握和使用单片机是具有深远的意义。通过本次课程设计掌握单片机硬件和软件方面的知识,更深入的了解单片机的实际应用,本次设计课程采用STC89C52单片机和ADC0804,LED显示,键盘,RS232等设计一个单片机开发板系统。进行了LED显示程序设计,键盘程序设计,RS232通信程序设计等。实现了单片机的各个程序的各个功能。对仿真软件keil的应用提升了一个新的高度。单片机体积小、成本低、使用方便,所以被广

泛地应用于仪器仪表、现场数据的采集和控制。通过本实验的学习,可以让学生掌握单片机原理、接口技术及自动控制技术,并能设计一些小型的、综合性的控制系统,以达到真正对单片机应用的理解。 关键词:单片机;智能;最小系统;ADC;RS232;显示;STC89C52 第1章概述 单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。相当于一个微型的计算机,和计算机相比,单片机只缺少了I/O设备。单片机采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计时器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)集成到一块硅片上构成的一个小而完善的微型计算机系统。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机是了解计算机原理与结构的最佳选择。 它最早是被用在工业控制领域,由于单片机在工业控制领域的广泛应用,单片机由芯片内仅有CPU的专用处理器发展而来。最早的设计理念是通过将大量外围设备和CPU集成在一个芯片中,使计算机系统更小,更容易集成进复杂的而对体积要求严格的控制设备当中。 现代人类生活中所用的几乎每件电子和机械产品中都会集成有单片机。手机、电话、计算器、家用电器、电子玩具、掌上电脑以及鼠标等电脑配件中都配有1-2部单片机。汽车上一般配备40多部单片机,复杂的工业控制系统上甚至可能有数百台单片机在同时工作!单片机的数量不仅远超过PC机和其他计算的总和,甚至比人类的数量还要多。单片机的使用领域已十分广泛,如智能仪表、实时工控、通讯设备、导航系统、家用电器等。各种产品一旦用上了单片机,就能起到使产品升级换代的功效,常在产品名称前冠以形容词——“智能型”,如智能型洗衣机等。 第2章实验内容 2.1单片机集成开发环境应用

北京交通大学电路分析实验2.1

电路分析实验 实验2.1 直流电路分析和仿真 学号;

一.实验目的 1.学习Multisim建立电路,分析直流电路的方法。 2.熟悉Multisim,分析仿真模式中输出结果的常用后处理方法。 3.掌握伏安特性的仿真测量。 4.通过实验加深对叠加定理和戴维南定理的理解。 二.实验内容 1.测量二极管的伏安特性 (1)建立如实验图2-1-1所示的仿真电路 图2-1 (2)启动Simulate菜单中Analyses下的DC Sweep命令,使用“直流扫描”工具,设置电压源V1从0到2.0V按步长0.001V变化,输出二极管D1电流,得到如图2-1-1的伏安特性曲线 2.验证叠加定理 (1)建立如图2-2-1所示的仿真电路

(2)启动仿真开关后分别在每种电源独立作用和共同作用时,用电压表测量各支路电压,记录在自己设计的表格里,验证叠加定理。 图2-2-1 电路仿真测量值

由于理论值与仿真数据完全一致, 且 U1(V1+V2)=U1(V1)+U2(V2); U1(V1+V2)=U1(V1)+U2(V2); U1(V1+V2)=U1(V1)+U2(V2); 所以各支路电压符合叠加定理。 3.求取戴维南等效电路 (1)建立如实验图2-3所示的仿真电路,其中a,b左端为需要等效的端口,电流源为外加测试电源。 (2)用直流扫描分析方法求出a、b左端点的戴维南等效电路参数。让测试电流源从0变化到10mA,测试得到的扫描曲线,得到a,b端点的开路电压和等效电阻。

理论计算值 V=R3/(R1+R3)*V1=8.2500 V,R=R1//R3+R2=708.5Ω 通过光标取值得到开路电压 V=8.2500 V,等效电阻 R=708.5Ω . 与计算结果一致. 4.验证最大功率传输定理 (1)将实验图2-3所示的仿真电路中的测试电流换为一个负载电阻,如实验图2-4所示,利用参数扫描分析验证最大功率传输定理。 (2)选择Simulate/Analyses/Parameter sweep,设定R4电阻从500Ω变化到1.6KΩ,步长为0.5Ω,输出选择为R4的功率。启动分析仿真后得到R4功率随其阻值变化的曲线。 (3)打开测量游标,查找曲线最大值,得到最大功率值及其对应的负载电阻值。 实验图2-4

相关文档
最新文档