信号发生器课程设计

信号发生器课程设计
信号发生器课程设计

目录

摘要 (1)

1 绪论 (2)

1.1 信号发生器简介 (2)

1.2 课程设计目的 (2)

2 课程设计环境 (2)

2.1 Quartus II简介 (2)

2.2 VHDL简介 (3)

3 信号发生器原理 (4)

3.1 脉冲发生器原理 (4)

3.2 DDS原理 (4)

3.3 D/A转换器原理 (5)

3.4 波形产生原理 (5)

4 信号发生器的模块 (6)

4.1 频率控制块 (6)

4.2 波形控制块 (7)

4.3 LPM_ROM宏功能块 (7)

4.4 三位选择器 (8)

5 Quartus II 仿真 (8)

5.1 波形仿真图 (9)

5.2 SignalTap II File 仿真 (10)

6 心得体会 (11)

7 参考文献 (12)

附录A 信号发生器原理图 (13)

附录B SignalTap II File 仿真图 (13)

附录C 模块程序 (14)

摘要

随着科学技术的飞速发展,电子测量技术被广泛应用在电子、机械、医疗、测控及航天等各个领域,而电子测量技术要用到各种形式的高质量信号源,因此

任意波形发生器的研制就具有非常重要的现实意义。

本文便是基于DDS(Direet Digital Synthesis)技术进行任意波形发生器研制的。要求可以产生正弦波、方波、三角波与锯齿波等常规波形,而且能够产生任意波形,从而满足研究的需要。具体工作如下:

(一)介绍信号发生器的产生原理,阐述频率合成技术的各种方式与技术对比情况,并选定直接数字频率合成技术进行研制。

(二)介绍系统的硬件设计构成与功能实现,并对系统部件进行逐一细述。

选用单片机作为控制模块,使用FPGA实现DDS功能作为技术核心,并对外围电路的设计与接口技术进行分析。

(三)讲述DDS的工作原理、工作特点与技术指标,并基于EDA技术进行设计,通过使用相位累加器与波形ROM等模块,实现DDS功能。同时辅以使能模块与行列式键盘,实现各种波形的灵活输出。

(四)给出系统产生的测试数据,并对影响频谱纯度的杂散与噪声产生的原

因进行分析。

关键词:电子测量;任意波形发生器;DDS;单片机;FPGA

1 绪论

1.1 信号发生器简介

信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。它能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波等,在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的信号发生器。

本设计采用FPGA来设计制作多功能信号发生器。该信号发生器可以产生正弦波、三角波、方波等波形。

1.2 课程设计目的

1、了解高速DA芯片TLC5602的工作原理。

2、了解用DDS的工作原理。

3、了解对内部LPM-ROM模块的调用。

2 课程设计环境

2.1 Quartus II简介

Quartus II 是Altara公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度

快,界面统一,功能集中,易学易用等特点。

Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。

此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。

MaxplusII 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Maxplus II 的更新支持,Quartus II 与之相比不仅仅是支持器件类型的丰富和图形界面的改变。Altera在Quartus II 中包含了许多诸如SignalTap II、Chip Editor和RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了Maxplus II 友好的图形界面及简便的使用方法。

Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。

2.2 VHDL简介

VHDL(Very High Speed Integrated Circuit Hardware Description Language,超高速集成电路硬件描述语言)是一种用来描述数字系统行为和结构的硬件描述语言,被广泛的运用于描述和仿真各种数字系统,小到几个门,大到许多复杂集成电路相连的系统。

VHDL诞生于1982年,是由美国国防部开发的一种快速设计电路的工具,目前已经成为IEEE(The Institute of Electrical and Electronics Engineers)的一种工业标准硬件描述语言。相比传统的电路系统的设计方法,VHDL具有多层次描述系统硬件功能的能力,支持自顶向下(Top to Down)和基于库(Library Based)的设计的特点,因此设计者可以不必了解硬件结构。从系统设计入手,在顶层进

行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后由工业界开发的。

3 信号发生器原理

由于本系统由多部分构成,在此根据各部分的基本原理,对各个部分进行逐个分析。

3.1 脉冲发生器原理

脉冲发生器就是要产生一个脉冲波形,而可控脉冲发生器则是要产生一个周期和占空比可变的脉冲波形。可控脉冲发生器的实现原理比较简单,可以简单的理解为一个计数器对输入的时钟信号进行分频的过程。通过改变计数器的上限值来达到改变周期的目的,通过改变电平翻转的阈值来达到改变占空比的目的。下面举个简单的例子来说明其工作原理。

假如有一个计数器T对时钟分频,其计数的范围是从0~N,另取一个M(0≤M≤N),若输出为Q,那么Q只要满足条件

时,通过改变N值,即可改变输出的脉冲波的周期;改变M值,即可改变脉冲波的占空比。这样输出的脉冲波的周期和占空比分别为:

3.2 DDS原理

本设计采用调用宏单元中得计数器实现实现对波形数据地址的寻找,用三选一数据选择器实现波形的选择。在上述的频率的控制的程序,是基于DDS原理的基础编程的。需要计算出它的初值后,在送到分频器中。在传统的DDS中,频率控制字和系统时钟决定了输出时钟的频率。由DDS原理知道,它由累加器和相位寄存器两部分组成,由外部送入频率控制字。DDS系统的参考时钟源通常是一个具有高稳定性的晶体振荡器,整个系统的各个组成部分提供同步时钟。频率字

?

?

?

<

=

N

T

M

M

T

Q

1

%

100

1

)1

(

?

+

=

+

=

N

M

T

N

CLOCK

占空比

周期

(FSW)实际上是相位增量值(二进制编码),作为相位累加器的累加值。相位累加器在每一个参考时钟脉冲输入时,累加一次频率字,其输出相应增加一个步长的相位增量。我们只是用来实现波形的一个简易信号发生器,所以直接用QuartusII中得宏功能实现。

3.3 D/A转换器原理

DA转换器相对于AD转换器在时序上要求相对较低,使用比较简单,在此处不作详细叙述。本实验要求使用开发平台上现有的并行D/A转换器TLC5602来产生四种频率可调的波形:正弦波、方波、三角波。

三角波产生的原理比较简单我们可以采用0-255-0的循环加减法计数器来实现。方波产生的原理是让计数器在0和255时各保持输出半个周期。正弦波的产生比较复杂,一般采用查表法来实现,正弦表值可以用MATLAB,C等程序语言生成。在一个周期取样点越多则输出的波形失真度越小,但是点越多存储正弦波表值所需要的空间就越大,编写就越复杂。在要求不是很严格的情况下取64个点就可以了。

正弦波波形数据ROM可以由多种方式实现,如逻辑方式在FPGA中实现,或利用LPM-ROM来实现。相比之下,LPM-ROM实现起来更快,更方便。LPM-ROM模块只有在含有EAB的器件上才能使用。

在这个实验中我们将这三种波形的数据均放入LPM-ROM内,我们只需要对每种波形的起始地址进行控制即可实现对四种波形的控制输出。

3.4波形的产生原理

在QuartusII开发环境下搭建系统模型、仿真及下载,并采用嵌入式逻辑分析仪分析和验证了实验结果。该系统可以完成多位频率控制字的累加,能够产生正弦波、方波和三角波,具有良好的实时性。

DDS可以根据ROM中存储数据的不同产生多种波形。在QuartusII开发

环境下搭建DDS系统模型需要订制波形存储器ROM,根据所需精度的不同,ROM中存储的采样点数也不同。当所需波形数据非常简单时,可以在QuartusII中定制ROM时直接将数据写入新建的mif文件,然后保存即可,

当所需波形数据较为复杂时,可以通过Matlab来自动生成所需波形的幅度

数据,然后再通过调用mif文件来达到预期目标。

例如:正弦信号的产生,可由Matlab程序

x=linspace(0,2*批,1024);

y=127.5*sin(x)+127.5

z=round(y)

生成数据。

所以要根据实际的需要来综合考虑存储单元的个数。将上述指令在Matlab 环境中运行之后就能够得到所需mif文件。

在mif文件生成之后需要将此文件添加进入DDS系统的ROM中,然后进行全局的编译,编译通过后就可以进行工程的下载。具体的波形可以通过示波器来分析,或者使用Quartus II自带的嵌入式逻辑分析仪来分析。在使用嵌入式逻辑分析仪分析和观察时,采样信号要根据DDS的时钟信号来确定,待测信号设定为DDS的输出信号,当工程下载到FPGA芯片后,待测信号通过USB-BLASTER反馈至嵌入式逻辑分析仪中,选择不同的数据类型,可以观察到以十进制数据表示的数字信号或者以实际波形表示的模拟信号。

4信号发生器的模块

4.1频率控制块

这种发生器的信号不是由振荡器直接产生,而是以高稳定度石英振荡器作为标准频率源,利用频率合成技术形成所需之任意频率的信号,具有与标准频率源相同的频率准确度和稳定度。输出信号频率通常可按十进位数字选择,最高能达11位数字的极高分辨力。频率除用手动选择外还可程控和远控,也可进行步级式扫频,适用于自动测试系统。直接式频率合成器由晶体振荡、加法、乘法、滤波和放大等电路组成,变换频率迅速但电路复杂,最高输出频率只能达1000兆赫左右。用得较多的间接式频率合成器是利用标准频率源通过锁相环控制电调谐振荡器(在环路中同时能实现倍频、分频和混频),使之产生并输出各种所需频率的信号。

图1 频率控制元件图

4.2 波形控制

它能产生某些特定的周期性时间函数波形(主要是正弦波、方波、三角波、锯齿波和脉冲波等)信号。频率范围可从几毫赫甚至几微赫的超低频直到几十兆赫。除供通信、仪表和自动控制系统测试用外,还广泛用于其他非电测量领域。图2为产生上述波形的方法之一,将积分电路与某种带有回滞特性的阈值开关电路(如施米特触发器)相连成环路,积分器能将方波积分成三角波。施米特电路又能使三角波上升到某一阈值或下降到另一阈值时发生跃变而形成方波,频率除能随积分器中的RC 值的变化而改变外,还能用外加电压控制两个阈值而改变。将三角波另行加到由很多不同偏置二极管组成的整形网络,形成许多不同斜度的折线段,便可形成正弦波。另一种构成方式是用频率合成器产生正弦波,再对它多次放大、削波而形成方波,再将方波积分成三角波和正、负斜率的锯齿波等。对这些函数发生器的频率都可电控、程控、锁定和扫频,仪器除工作于连续波状态外,还能按键控、门控或触发等方式工作。

图2 波形控制元件图

4.3 LPM_ROM 宏功能块

在QuartusII 中对Altera 宏功能模块和LPM 函数进行例化。

Altera 推荐使用MegaWizard Plug-In Manager 对宏功能模块进行例化以及建立自定义宏功能模块变量。此向导将提供一个供自定义和参数化宏功能模块使用

的图形界面,并确保正确设置所有宏功能模块的参数。

图3 宏功能元件图

4.4三位选择器

波形仿真图

图5 三角波仿真图

图6 正弦波拨动开关置0图

图7 正弦波拨动开关置1图

图8 矩形波仿真图

SignalTap II File 仿真

在完成设计并编译工程后,建立SignalTap II (.stp)文件并加入工程、配置STP文件、编译并下载设计到FPGA、在Quartus II软件中显示被测信号的波形、在测试完毕后将该逻辑分析仪从项目中删除。以下描述设置 SignalTap II 文件的基本流程:

1.设置采样时钟。采样时钟决定了显示信号波形的分辨率,它的频率要大于被测信号的最高频率,否则无法正确反映被测信号波形的变化。SignalTap II 在时钟上升沿将被测信号存储到缓存。

2.设置被测信号。可以使用Node Finder 中的 SignalTap II 滤波器查找所有预综合和布局布线后的SignalTap II 节点,添加要观察的信号。逻辑分析器不可测试的信号包括:逻辑单元的进位信号、PLL的时钟输出、JTAG引脚信号、LVDS(低压差分)信号。

3.配置采样深度、确定RAM的大小。SignalTap II所能显示的被测信号波形的时间长度为Tx,计算公式如下:

Tx=N×Ts

N为缓存中存储的采样点数,Ts为采样时钟的周期。

4.设置buffer acquisition mode。buffer acquisition mode包括循环采样存储、连续存储两种模式。循环采样存储也就是分段存储,将整个缓存分成多个片段(segment),每当触发条件满足时就捕获一段数据。该功能可以去掉无关的数据,使采样缓存的使用更加灵活。

5.触发级别。SignalTap II支持多触发级的触发方式,最多可支持10级触发。

6.触发条件。可以设定复杂的触发条件用来捕获相应的数据,以协助调试设计。当触发条件满足时,在signalTap时钟的上升沿采样被测信号。

完成STP设置后,将STP文件同原有的设计下载到FPGA中,在Quartus II 中SignalTap II窗口下查看逻辑分析仪捕获结果。SignalTap II可将数据通过多余的I/O引脚输出,以供外设的逻辑分析器使用;或输出为csv、tbl、vcd、vwf文件格式以供第三方仿真工具使用。

6 心得体会

通过这次课程设计我能够熟练地运用 Quartus II,了解了DDS工作的特点和方式掌握了在Quartus II环境下对FPGA设计,构建DDS的技术,发挥了任意波形输出的灵活性。

对本设计进行测试实验,通过具体数据的分析,得知系统的稳定度、输出波形的样式等具体指标,解决操作过程中存在的问题。在发挥设计的功效之外,也发现了系统的一些局限性。

介绍了以直接数字频率合成技术(DDS)为基础的波形信号发生器工作原理和设计过程,并在FPGA实验平台上设计实现了满足各功能指标的信号发生器。系统硬件除需外加滤波整形电路外,其余部分均可在FPGA开发实验系统KH-310上集成开发,系统软件可在Quartus下编写代码,实现数据信息处理和控制操作等功能。

7 参考文献

[1] 刘卫国 MATLAB程序设计与应用[M] 高等教育出版社 2006

[2] 周登荣.任意波形发牛器的电路设计与实现[D].成都.电子科技大学.2007

[3] 江国强.EDA技术与应用[M].电子工业出版社.2010

[4] 韩素敏,郑征.基于VHDL的正弦波发生器设计[N].陕西工学院学报,2003

信号发生器原理图

SignalTap II File 仿真图

正弦波

三角波

模块程序

频率控制块

利用按键实现频率的选择的程序:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity clkout is

port( Clk : in std_logic; --时钟输入

Rst : in std_logic; --复位输入

NU,ND : in std_logic; --输入:控制频率的改变

--key : in std_logic; --输入:控制波形的改变

Fout : out std_logic --时钟输出

);

end clkout;

--------------------------------------------------------------------

architecture behave of clkout is

signal M_Buffer : std_logic_vector(19 downto 0);

signal N_Count :std_logic_vector(14 downto 0);

signal clkin : std_logic;

signal Clk_Count : std_logic_vector(22 downto 0); --产生一个低速时钟,用于按键判断

begin

process(Clk) --计数器累加

begin

if(Clk'event and Clk='1') then

if(N_Count=M_Buffer+M_Buffer) then N_Count<="000000000000000";

else N_Count<=N_Count+1;

end if;

end if;

end process;

process(Clk) --波形判断

begin if(Clk'event and Clk='1') then

if(N_Count

elsif(N_Count>M_Buffer and N_Count

end if; end if;

end process;

process(Clk)

begin if(Clk'event and Clk='1') then

Clk_Count<=Clk_Count+1;

end if;

clkin<=Clk_Count(22);

end process;

process(clkin) --频率及占空比的改变1

begin

if(clkin'event and clkin='0') then

if(Rst='0') then

M_Buffer<="00000000000000100000";

--N_Buffer<="000010000000000";

elsif(NU='0') then M_Buffer<=M_Buffer+1 ;

elsif(ND='0') then M_Buffer<=M_Buffer-1;

end if; end if;

end process;

end behave;

波形控制块

通过拨动开关实现波形的选择的程序:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity addrkey is

port(clk : in std_logic;

key : in std_logic_vector(1 downto 0); dout : out integer range 767 downto 0); end ;

architecture a of addrkey is

signal q1: integer range 255 downto 0; signal q2: integer range 511 downto 256; signal q3: integer range 767 downto 512; begin

process(clk,key,q1,q2,q3)

begin if key="00" or key="11"then

if(clk'event and clk='1')then

if q1=255 then q1<=0;

else q1 <= q1+1;

end if; end if;

dout<=q1;

elsif key="01" then

if(clk'event and clk='1')then

if q2=511 then q2<=256;

else q2 <= q2+1;

end if; end if;

dout<=q2;

elsif key="10" then

if(clk'event and clk='1')then if q3=767 then q3<=512; else q3 <= q3+1;

end if; end if;

dout<=q3;

end if; end process; end ;

高频信号发生器的设计与制作

一、概要 在高等学校课程设计是一个重要的教学环节,它与实验、生产实习、业设计构成实践性教学体系。由此规定了课程设计的三个性质:一是教学,学生在教师指导下针对某一门课程学习工程设计; 二是实践性,课程设包括电路设计、印刷板设计、电路的组装和调试等实践内容; 三是群众性、主动性,课程设计以学生为主体,要求人人动手,教师只起引导作用,主任务由学生独立完成,学生的主观能动性对课程设计的完成起决定性作。学生较强的动手能力就是依靠实践性教学体系来培养的。 1.1 何谓课程设计 所谓课程设计就是大型实验,是具有独立制作和调试的设计性实验,其基本属性体现在工程设计上。但课程设计毕竟不同于一般实验。 首先是时间和规模不同,一般实验只有两学时,充其量为四学时;而课程设计一般为一~两周。实验所要达到的目的较小。通常只是为了验证某一种理论、掌握某一种参数的测量方法、学习某一种仪器的使用方法等等;而课程没计则是涉及一门课程甚至几门课程的综合运

用,所以课程设计是大型的。 其次,完成任务的独立性不同,一般实验学生采用教师事先安排好的实验板和仪器,实验指导书上详细地介绍了做什么和如何做,实验时还有教师现场指导,学生主要任务是搭接电路,用仪器观察现象和读取数据,因此实验是比较容易完成的;而课程设计不同,课程设计只给出所要设计的部件或整机的性能参数,由学生自己去设计电路、设计和制作印刷电路板,然后焊接和调试电路,以达到性能要求。 课程设计和毕业设计性质非常接近,毕业设计是系统的工程设计实践,而课程设计则是工程设计实践的初步训练,它为毕业设计打下一定基础。课程设计与毕业设计在规模上和要求上,大小高低不同,但它们都属于工程设计,因此工作步骤是类似的。 1.2 课程设计的目的要求 1 、课程设计的目的是帮助学生综合运用所学的理论知识,把一些单元电路有机地组合起来,组成小的系统,使学生建立系统的概念;并使学生巩固和加强已学理论知识。并掌握一般电子电路分析和设计的基本步骤。 2 、掌握常用元器件的检测、识别方法及常用电子仪器的正确使用方法。 3 、掌握印制板的制作流程以及protel 99 SE的使用等基本技能。 4 、培养一定的独立分析问题、解决问题的能力。对设计中遇到的问题能通过独立思考、查阅有关资料,寻找解决问题的途径;对调试中

信号发生器实验报告

低频电路课程设计 OCL 功率放大器设计 学院名称: 电气信息工程学院 专 业: 测控技术与仪器 班 级: 08测控1班 姓 名: 朱彬彬 学 号: 08314105 指导老师: 王云松 2010年 6 月20 日 JIANGSU TEACHERS UNIVERSITY OF TECHNOLOGY 电气信息工程学院

1设计课题:OCL功率放大器 为了保证功率,效率和失真三个指标满足一定的要求,早期的功率放大器多采用变压耦合。这种电路变压器体积大,比较笨重,耗损多,而且高频和低频部分频响特性不好,在引入负反馈时,很容易自激。随着电子技术的发展,后来被无输出变压器的功率放大电路(OTL)代替。在OTL电路中,虽去掉了变压器,但为了能用但电源供电,输出端接了一个大电容,这个大电容影响了电路的低频特性,于是出现了OCL电路。 OCL功放是在OTL功放的基础上发展起来的,它比OTL功放的频带更宽,保真度更高。OCL功放是一种直接耦合的多级放大器,它运用了许多电子器件,包含了多种基本电路形式。 OCL功率放大器采用两组电源供电,使用了正负电源,在电压不太高的情况下,也能获得较大的输出功率,省去了输出端的耦合电容,使放大器低频特性得到扩展,OCL功放电路也是定压式输出电路,其电路由于性能比较好,所以广泛的应用于高保真扩音设备中。 2 主要技术指标 最大不失真输出功率:Pom≥8w 负载阻抗(扬声器):R L=10Ω 频率响应:f=50Hz~20kHz 非线性失真系数:γ≤功率放大器1% 输入灵敏度:Vi≤300mv 稳定性:电源升高和降低20%时,输出零点漂移≤100mv 3实验用仪器: 直流稳压电源一台 低频信号发生器一台 低频毫伏表一台 示波器一台 万用表一台 晶体管图示仪一台 失真度测量仪一台 4电路原理 OC L功率放大器时一种直接耦合的多级放大器,总体可分为三个部分

实验 函数信号发生器的原理与使用

电子科学系实验报告 系班组实验日期年月日姓名学号同组姓名 实验操作评定:好、较好、基本掌握、较差指导老师 实验二函数信号发生器的原理与使用 二、实验目的: 二、实验仪器和设备 三、实验内容 内容: 1 熟悉掌握函数发生器各个操作部件的功能 2. 实验验证各个功能的实现过程 3 用示波器观察各种输出信号 4 验证个功能指标是否符合仪器的标示 5 总结说明仪器的特点及应用 四、实验原理 使用一个激发装置(即信号源)来激励一个系统,以便观察、分析它对激励信号的反映如何,这是电子测试技术的标准实验之一。在设计、制造飞机时,需要事先了解机体及其有关设备在各种气流、雷击、雨水、温变干扰下的反映情况;在发展冶炼技术时,需要了解炉内物态随炉脸温度燃油器喷口温度而变化的动态过程;在分析一个电子线路时,常常需要了解输出信号频率及振幅与输入信号频率及振幅之间的关系。这样,在进行上述过程的硬件或软件的模拟实验时.就需要人为地产生各种模仿的信号。系统在这些模仿的信号的激励下产生各种反应,因此,称它们为激励信号。产生这些信号的仪器设备称为信号源。 信号源包括函数信号发生器、脉冲信号发生器、音频信号发生器、任意波形信号发生器以 及扫描频率发生器等多种设备,用于各种各样的工程测试。图11.1所示的产品系列树反映出信号源之间的关系,其中直接数字器件合成(DDS)是一种较新的技术,它利用了最

现代化的数字器件的能力,成为系列产品的主干,发展出函数发生器相任意波形发生器这样高水平的产品。 基本的函数发生器提供正弦波、方波和三角波,频率范围在1MHz到约50MHz之间。图11.2显示的是一个包含两个运算放大器的基本函数发生器。器件A1是一个积分器,它提供一个三角波输出信号,它所产生的三角波信号通过正弦波形成电路而产生正弦波信号输出。器件A2是一个电压比较器,它产生一个方波信号。大多数普通价格的函数发生器都以一些单片式集成电路(IC)为基础,并能提供正弦波、方波和三角波。价格较高者则能提供触发信号*只有较宽的频率范围祁较稳定的频率.具有可变的上升时间(对方波而言)和可变的直流补偿.具有较高的频率准确度和较强的输出驱动能力,旦波形失真度小。

DDS信号发生器 实验报告

H a r b i n I n s t i t u t e o f T e c h n o l o g y EDA技术高级应用 实验报告 姓名:禾小鬼 同组人: 学号:16S 班级:信息2班 指导教师:xxx 院系:电信学院

实验一函数信号发生器 一、实验内容 实验内容包括下面两个方面 1.熟悉quartus ii开发环境 第一次接触quartus ii开发环境,首先可以通过新建一个工程熟悉quartus ii的各种基本操作。需要学习的包括以下几个方面:选器件,采用原理图方法画一个电路图实现某种功能,并对这个功能进行行为仿真以验证功能上的正确性。 2.设计一个函数信号发生器 在开始之前,首先要明确设计目的,我们的想要用电路图方法实现设计一个“函数信号发生器”。然后,可以先根据自己的思路想好一个电路图的设计方案,再开始实验。 二实验结果 1.第一步:建立一个新的工程 新建工程的过程中,最重要的是设置器件,不同的器件的设计之间并不兼容。会有一个综合的信息框,注明了我所做的设置,看看没问题就可以了。然后新建一个原理图文件schematic,作为顶层文件,将顶层文件命名为DDS在上面进行画图。 2.第二步:画电路图 本次实验采用软件自带的器件库MegaWizard Plug-in Manager中的器件。自定义3个ROM,并将ROM表中存储事先准备好的三种波形的数据文件,波形数据文件由matlab产生,ROM中存储8bit-32words的数据,包括一个时钟输入,一个5位地址输入和一个7位输出;还需要一个5位计数器,用以输出读取ROM 的地址;一个时钟控制整个电路工作; 我画的电路图,如图1所示。其原理为:三个ROM表存储三种波形数据,整个电路通过时钟控制,时钟每翻转一次,计数器加一,产生一个地址,输入到

函数信号发生器实训报告

电子与信息工程 综合实验课程报告 实验名称:基于单片机的信号发生器的设计与实现班级:电子1班 组员:徐丹许艳徐梅 指导教师:张辉 时间:2013-6-8至2011-6-16

目录 前言......................................................................... 错误!未定义书签。 1 波形发生器概述 (2) 1.1波形发生器的发展状况 (2) 1.2国内外波形发生器产品比较 (3) 2 方案论证与比较 (4) 2.1 方案一 (4) 2.2 方案二 (5) 2.3 方案三 (5) 3 硬件原理 (5) 3.1 MCS-51单片机的内部结构 (6) 3.1.1 内部结构概述 (6) 3.1.2 CPU结构 (6) 3.1.3 存储器和特殊功能寄存器 (7) 3.2 P0-P3口结构 (7) 3.3 时钟电路和复位电路 (8) 3.3.1时钟电路 (8) 3.3.2单片机的复位状态 (9) 3.4 DAC0832的引脚及功能 (10) 4 软件原理 (11) 4.1 主流程图 (12) 4.1.1 方波仿真图 (13) 4.1.2 三角波仿真图 (14) 4.1.3 锯齿波仿真图 (15) 4.1.4 梯形波仿真图 (16) 4.1.5 正弦波仿真图 (17) 4.2附录:实物图 (17) 总结 (18) 致谢 (19) 参考文献 (19)

1 波形发生器概述 在电子工程、通信工程、自动控制、遥测控制、测量仪器、仪表和计算机等技术领域,经常需要用到各种各样的信号波形发生器。随着集成电路的迅速发展,用集成电路可很方便地构成各种信号波形发生器。用集成电路实现的信号波形发生器与其它信号波形发生器相比,其波形质量、幅度和频率稳定性等性能指标,都有了很大的提高。 1.1波形发生器的发展状况 波形发生器是能够产生大量的标准信号和用户定义信号,并保证高精度、高稳定性、可重复性和易操作性的电子仪器。函数波形发生器具有连续的相位变换、和频率稳定性等优点,不仅可以模拟各种复杂信号,还可对频率、幅值、相移、波形进行动态、及时的控制,并能够与其它仪器进行通讯,组成自动测试系统,因此被广泛用于自动控制系统、震动激励、通讯和仪器仪表领域。 在70 年代前,信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形,则电路结构非常复杂。同时,主要表现为两个突出问题,一是通过电位器的调节来实现输出频率的调节,因此很难将频率调到某一固定值;二是脉冲的占空比不可调节。 在70 年代后,微处理器的出现,可以利用处理器、A/D/和D/A,硬件和软件使波形发生器的功能扩大,产生更加复杂的波形。这时期的波形发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。 90 年代末,出现几种真正高性能、高价格的函数发生器、但是HP公司推出了型号为HP770S的信号模拟装置系统,它由HP8770A任意波形数字化和HP1776A波形发生软件组成。HP8770A实际上也只能产生8 中波形,而且价格昂贵。不久以后,Analogic公司推出了型号为Data-2020的多波形合成器,Lecr oy 公司生产的型号为9100 的任意波形发生器等。 到了二十一世纪,随着集成电路技术的高速发展,出现了多种工作频率可过GHz 的DDS 芯片,同时也推动了函数波形发生器的发展,2003 年,Agilent 的产品33220A能够产生17 种波形,最高频率可达到20M,2005 年的产品N6030A 能够产生高达500MHz 的频率,采样的频率可达1.25GHz。由上面的产品可以看出,函数波形发生器发展很快近几年来,国际上波形发生器技术发展主要体现在以下几个方面:

信号发生器设计---实验报告

信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U =6V,正弦波U p-p>1V。 p-p 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时)用仪器测量上升时间,三角波r△<2%,正弦波r <5%。(计算参数) ~ 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。(差模传输特性)其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注 应接近晶体意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V m 管的截止电压值。 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2调整电路的对称性,并联电阻R E2用来减小差分放大器的线性区。C 1、C 2、C 3为隔直电容,C 4为滤波电容,以滤除谐波分量,改善输出波形。取Ic2上面的电流(看输出) 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n 个波段范围。(n>3) ③输出电压:一般指输出波形的峰-峰值U p-p 。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r ~和r △;表征方波特性的参数是上升时间t r 。 四、电路仿真与分析 实验仿真电路图如图

多种信号音及铃流信号发生器实验

信息科学与工程学院《程控交换原理》上机实验报告 专业班级电信姓名学号 实验时间 2010年 12月 2 日指导教师成绩

图4—1 本实验系统传送信号流程图 4、数字信号的产生 在数字程控交换机中直接进行交换的是PCM数字信息,在这样的情况下如何使用户家收到信号音(如拨号音、回铃音、忙音等)是一个重要的问题。因为模拟信号产生的信号音是不能通过PCM交换系统的,这就要求设计一个数字信号发生器,使之能与交换网络输出这样一些PCM信息,这些数字信息经过非线性译码后能成为一个我们所需的模拟信号音。 )传统方式产生数字信号音 )由图4—2可知,这是一种常见的PCM编码方式,400HZ—500HZ的正弦信号由硬(3)数字电路产生数字音信号

图4—3 450HZ正弦波信号一个周期取样示意图 我们对正弦信号再以每隔125us取样一次,并将取样所得的正弦信号幅度按照A规律十三 图4—4 数字信号产生电流原理图 5、拨号音及控制电路 主叫用户摘机,CPU检测到该用户有摘机状态后,立即向该用户发出声音信号,表示可以拨号,当CPU中央处理单元收到第一个拨号脉冲后,立即切断该声音信号,该声音信号就叫拨号音。拨号音由上述数字信号产生,一旦一有用户摘机,交换网路把数字信号音送给该用户,经过TP3067的译码,提供给用户450hz的正弦波。

图4—5断续电路原理图 7、忙音及控制电路 忙音表示被叫用户处于忙状态,此时用户应该挂机,等一会在从新呼叫 本试验箱大于采用0、35秒断,0、35秒继续的400hz—450hz的方波信号,图4是该电路的原理图。 图4—6忙音控制电路的原理图。

高频课程设计_LC振荡器_克拉泼.(DOC)

高频电子线路课程设计报告设计题目:高频正弦信号发生器 2015年 1月 6 日

目录 一、设计任务与要求 (1) 二、设计方案 (1) 2.1电感反馈式三端振荡器 (2) 2.2电容反馈式三端振荡器 (2) 2.3克拉波电路振荡器 (6) 三、设计内容 (8) 3.1LC振荡器的基本工作原理 (8) 3.2克拉泼电路原理图 (9) 3.2.1振荡原理 (9) 3.3克拉泼振荡器仿真 (10) 3.4.1软件简介 (10) 3.4.2进行仿真 (10) 3.4.3电容参数改变对波形的影响 (11) 四、总结 (17) 五、主要参考文献 (18) 六、附录.................................................................................... .. (18)

一、设计任务与要求 为了熟悉《高频电子线路》课程中所学到的知识,在本课程设计中,我和队友(石鹏涛、甘文鹏)对LC正弦波振荡器进行了分析和研究。通过对几种常见的振荡器(电感反馈式三端振荡器、电容反馈式三端振荡器、改进型电容反馈式振荡器)进行分析论证,我们最终选择了克拉泼振荡器。 在本次课程设计中,设计要求产生10~20Mhz的振荡频率。振荡器的种类很多,适用的范围也不相同,但它们的基本原理都是相同的,都由放大器和选频网络组成,都要满足起振,平衡和稳定条件。然后通过所学的高频知识进行初步设计,由于受实践条件的限制,在设计好后,我利用了模拟软件进行了仿真与分析。为了学习Multisim软件的使用,以及锻炼电子仿真的能力,我们选用的仿真软件是Multisim11.0版本,该软件提供了功能强大的电子仿真设计界面和方便的电路图和文件管理功能。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。NI Multisim软件结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。 最后我们利用了仿真软件对电路进行了一写的仿真分析,如改变电容的参数,分析对电路产生的影响等,再考虑输出频率和振幅的稳定性,得到了与理论值比较相近的结果,这表明电路的原理设计是比较成功的,本次课程设计也是比较成功的。 二:设计方案 通过学习高频电子线路的相关知识,我们知道LC正弦波振荡器主要有电感反馈式三端振荡器、电容反馈式三端振荡器以及改进型电容反馈式振荡器(克拉波电路)等。通过老师所讲和查阅相关资料可知,克拉泼振荡电路具有该电路频率稳定性非常高,振幅稳定,适合做波段振荡器等优点。所以在本设计中拟采用改进型电容反馈式--克拉泼电路振荡器。 下面对几种振荡器进行分析论证: 2.1电感反馈式三端振荡器

模电函数信号发生器实验报告

电子电路模拟综合实验 2009211120 班 09210580(07)号 桂柯易

实验1 函数信号发生器的设计与调测 摘要 使用运放组成的积分电路产生一定频率和周期的三角波、方波(提高要求中通过改变积分电路两段的积分常数从而产生锯齿波电压,同时改变方波的占空比),将三角波信号接入下级差动放大电路(电流镜提供工作电流),利用三极管线性区及饱和区的放大特性产生正弦波电压并输出。 关键词 运放积分电路差动发达电路镜像电流源 实验内容 1、基本要求: a)设计制作一个可输出正弦波、三角波和方波信号的函数信号发生器。 1)输出频率能在1-10KHz范围内连续可调,无明显失真; 2)方波输出电压Uopp=12V,上升、下降沿小于10us,占空比可调范围30%-70%; 3)三角波Uopp=8V; 4)正弦波Uopp>1V。 b)设计该电路的电源电路(不要求实际搭建),用PROTEL软件绘制完整的 电路原理图(SCH) 2、提高要求: a)三种输出波形的峰峰值Uopp均可在1V-10V范围内连续可调。 b)三种输出波形的输出阻抗小于100欧。 c)用PROTEL软件绘制完整的印制电路板图(PCB)。 设计思路、总体结构框图 分段设计,首先产生方波-三角波,再与差动放大电路相连。 分块电路和总体电路的设计(1)方波-三角波产生电路: 正弦波产生电路三角波产生电路 方波产生电路

首先,稳压管采用既定原件2DW232,保证了输出方波电压Uo1的峰峰值为12V,基本要求三角波输出电压峰峰值为8V,考虑到平衡电阻R3的取值问题,且要保证R1/Rf=2/3,计算决定令Rf=12K,R1=8K,R3=5K。又由方波的上升、下降沿要求,第一级运放采用转换速度很快的LM318,Ro为输出限流电阻,不宜太大,最后采用1K欧电阻。二级运放对转换速度要求不是很高,故采用UA741。考虑到电容C1不宜过小,不然误差可能较大,故C1=0.1uF,最后根据公式,Rw抽头位于中点时R2的值约为300欧,进而确定平衡电阻R4的阻值。考虑到电路的安全问题,在滑阻的接地端串接了一个1K的电阻。(注:实际调测时因为滑阻转动不太方便,所以通过不断换滑阻的方式确定适当频率要求下Rw的阻值,我的电路最后使用的是1K欧的滑阻) (2)正弦波产生电路:

信号发生器实验报告

电子线路课程设计报告设计题目:简易数字合成信号发生器 专业: 指导教师: 小组成员:

数字合成信号发生器设计、调试报告 一:设计目标陈述 设计一个简易数字信号发生器,使其能够产生正弦信号、方波信号、三角波信号、锯齿波信号,要求有滤波有放大,可以按键选择波形的模式及周期及频率,波形可以在示波器上 显示,此外可以加入数码管显示。 二、完成情况简述 成功完成了电路的基本焊接,程序完整,能够实现要求功能。能够通过程序控制实现正弦波的输出,但是有一定噪声;由于时间问题,我们没有设计数码管,也不能通过按键调节频率。 三、系统总体描述及系统框图 总体描述:以51单片机开发板为基础,将输出的数字信号接入D\A转换器进行D\A转换,然后接入到滤波器进行滤波,最后通过运算放大器得到最后的波形输出。 四:各模块说明 1、单片机电路80C51 程序下载于开发板上的单片机内进行程序的执行,为D\A转换提供了八位数字信号,同时为滤波器提供高频方波。通过开发板上的232串口,可以进行软件控制信号波形及频率切换。通过开发板连接液晶显示屏,显示波形和频率。 2、D/A电路TLC7528 将波形样值的编码转换成模拟值,完成单极性的波形输出。TLC7528是双路8位数字模拟转换器,本设计采用的是电压输出模式,示波器上显示波形。直接将单片机的P0口输出传给TLC7528并用A路直接输出结果,没有寄存。 3、滤波电路MAX7400 通过接收到的单片机发送来的高频方波信号(其频率为所要实现波频率的一百倍)D转换器输出的波形,对转换器输出波形进行滤波并得到平滑的输出信号。 4、放大电路TL072

TL072用以对滤波器输出的波进行十倍放大,采用双电源,并将放大结果送到示波器进行波形显示。 五:调试流程 1、利用proteus做各个模块和程序的单独仿真,修改电路和程序。 2、用完整的程序对完整电路进行仿真,调整程序结构等。 3、焊接电路,利用硬件仿真器进行仿真,并用示波器进行波形显示,调整电路的一些细节错误。 六:遇到的问题及解决方法 遇到的软件方面的问题: 最开始,无法形成波形,然后用示波器查看滤波器的滤波,发现频率过低,于是检查程序发现,滤波器的频率设置方面的参数过大,延时程序的参数设置过大,频率输出过低,几次调整好参数后,在进行试验,波形终于产生了。 七:原理图和实物照片 波形照片:

(完整版)高频电子线路课程设计

课程设计 班级:电信12-1班 姓名:徐雷 学号:1206110123 指导教师:李铁 成绩: 电子与信息工程学院 信息与通信工程系

目录 摘要 (1) 引言 (2) 1. 概述 (3) 1.1 LC振荡器的基本工作原理 (3) 1.2 起振条件与平衡条件 (4) 1.2.1 起振条件 (4) 1.2.2平衡条件 (4) 1.2.3 稳定条件 (4) 2. 硬件设计 (5) 2.1 电感反馈三点式振荡器 (5) 2.2 电容反馈三点式振荡器 (6) 2.3改进型反馈振荡电路 (7) 2.4 西勒电路说明 (8) 2.5 西勒电路静态工作点设置 (9) 2.6 西勒电路参数设定 (10) 3. 软件仿真 (11) 3.1 软件简介 (11) 3.2 进行仿真 (12) 3.3 仿真分析 (13) 4. 结论 (13) 4.1 设计的功能 (13) 4.2 设计不足 (13) 4.3 心得体会 (14) 参考文献 (14)

徐雷:LC振荡器设计 摘要 振荡器是一种不需要外加激励、电路本身能自动地将直流能量转换为具有某种波形的交流能量的装置。种类很多,使用范围也不相同,但是它们的基本原理都是相同的,即满足起振、平衡和稳定条件。通过对电感三点式振荡器(哈脱莱振荡器)、电容三点式振荡器(考毕兹振荡器)以及改进型电容反馈式振荡器(克拉波电路和西勒电路)的分析,根据课设要求频率稳定度为10-4,西勒电路具有频率稳定性高,振幅稳定,频率调节方便,适合做波段振荡器等优点,因此选择西勒电路进行设计。继而通过Multisim设计电路与仿真。 关键词:振荡器;西勒电路;Multisim Abstract The oscillator is a kind of don't need to motivate, circuit itself automatically device for DC energy into a waveform AC energy applied. Many different types of oscillators, using range is not the same, but the basic principles are the same, to meet the vibration, the equilibrium and stability conditions. Based on the inductance of the three point type oscillator ( Hartley), three point capacitance oscillator ( Colpitts) and improved capacitor feedback oscillator (Clapp and Seiler) analysis, according to class requirements, Seiler circuit with high frequency stability, amplitude stability frequency regulation, convenient, suitable for the band oscillator etc., so the final choice of Seiler circuit design. Then through the Multisim circuit design and simulation. Key Words:Oscillator; Seiler; Multisim 1

函数信号发生器实验报告

北京邮电大学 电子电路综合设计实验报告 课题名称:函数信号发生器的设计和调试 院系:信息与通信工程学院 班级: 2012211113 姓名:李鸣野 学号:2012210362 班内序号:01 摘要 函数(波形)信号发生器能产生某些特定的周期性时间函数波形(正弦波、方波、三角波、锯齿波和脉冲波等)信号,频率范围可从几个微赫到几十兆赫函数信号发生器在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。除供通信、仪表和自动控制系统测试用外,还广泛用于其他非电测量领域。方波-三角波产生电路主要有运放组成,其中由施密特触发器多谐振荡器产生方波,积分电路将方波转化为三角波,差分电路实现三角波-正弦波的变换。该电路振荡频率由第一个电位器调节,输出方波幅度的大小由稳压管的稳压值决定;正弦波幅度和电路的对称性分别由后两个电位器调节。

关键词:方波,三角波,正弦波 基本要求: a)设计一个设计制作一个可输出正弦波、三角波和方波信号的函数信号发生器 1)输出频率能在1-10khz范围内连续可调,无明显失真; 2)方波输出电压Uopp=12V,上升、下降沿小于10us,占空比可调范围30%--70%; 3)三角波Uopp=8V; 4)正弦波Uopp≥1V。 b)用PROTEL软件绘制完整的电路原理图(SCH) 设计思路: 要产生方波,需要用稳压管和比较器组成方波产生电路。稳压管为实验提供的6v稳压管。方波经过RC积分电路积分得到三角波,幅度为Uo2m=±(UZ+UD),由R1和Rf的比值及稳压管的稳压值决定,实验要求三角波峰峰值为8v,故根据公式推导后,选用20K的电阻作为R1,30K的电阻作为Rf。R3为12K。R4为直流平衡电阻,应与R2保持一致,均为5K。R0为限流电阻,根据实验要求选用2K。 三角波到正弦波的变换电路主要由差分放大器来完成。差分放大器具有工作点稳定,输入阻抗高,抗干扰能力强等优点。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。R e取阻值为100Ω,C1、C2、C4为隔直流电容,取C1=C2=C3=33uF。Rp1调节三角波

信号发生器实验报告(终)

南昌大学实验报告 学生姓名:王晟尧学号:6102215054专业班级:通信152班 实验类型:□验证□综合□设计□创新实验日期:实验成绩: 信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U p-p=6V,正弦波U p-p>1V。 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时),三角波r△<2%,正弦波r~<5%。三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V 应接近晶 m 体管的截止电压值。 图4 三角波→正弦波变换电路

信号发生器实验报告(波形发生器实验报告)

信号发生器 一、实验目的 1、掌握集成运算放大器的使用方法,加深对集成运算放大器工作原理的理解。 2、掌握用运算放大器构成波形发生器的设计方法。 3、掌握波形发生器电路调试和制作方法 。 二、设计任务 设计并制作一个波形发生电路,可以同时输出正弦、方波、三角波三路波形信号。 三、具体要求 (1)可以同时输出正弦、方波、三角波三路波形信号,波形人眼观察无失真。 (2)利用一个按钮,可以切换输出波形信号。。 (3)频率为1-2KHz 连续可调,波形幅度不作要求。 (4)可以自行设计并采用除集成运放外的其他设计方案 (5)正弦波发生器要求频率连续可调,方波输出要有限幅环节,积分电路要保证电路不出现积分饱和失真。 四、设计思路 基本功能:首先采用RC 桥式正弦波振荡器产生正弦波,然后通过整形电路(比较器)将正弦波变换成方波,通过幅值控制和功率放大电路后由积分电路将方波变成三角波,最后通过切换开关可以同时输出三种信号。 五、具体电路设计方案 Ⅰ、RC 桥式正弦波振荡器 图1 图2 电路的振荡频率为:RC f π21 0= 将电阻12k ,62k 及电容100n ,22n ,4.4n 分别代入得频率调节范围为:24.7Hz~127.6Hz ,116.7Hz~603.2Hz ,583.7Hz~3015Hz 。因为低档的最高频率高于高档的最低频率,所以符合实验中频率连续可调的要求。 如左图1所示,正弦波振荡器采用RC 桥式振荡器产生频率可调的正弦信号。J 1a 、J 1b 、J 2a 、J 2b 为频率粗调,通过J 1 J 2 切换三组电容,改变频率倍率。R P1采用双联线性电位器50k ,便于频率细调,可获得所需要的输出频率。R P2 采用200k 的电位器,调整R P2可改变电路A f 大小,使得电路满足自激振荡条件,另外也可改变正弦波失真度,同时使正弦波趋于稳定。下图2为起振波形。

高频课程设计

中原工学院 课程设计报告 课题名称:AM传输系统设计 姓名:xxxxx 班级:信息类101 学号:xxxxx 同组人员:xxxxxx 指导教师:魏平俊、高丽

现代通信电路 课程设计任务书 1、设计题目:AM传输系统的设计 2、包含项目: (1)信号源产生模块(模拟语音信号); (2)载波信号产生模块 (3)AM调制器:平衡调制器 (4)AM解调器:解调AM信号 3、设计要求: (1)在进入实验室进行实际操作前,提交准备报告:包括综合设计概况、主要技术指标、相应模块的实现方法;提交模块的 电路原理图;提交采用的器件资料。 (2)实验操作可在ZH5006综合设计实验箱上进行,也可在高频电路实验台上进行。要求自行安装语音信号产生模块,其他 模块采用标准模块。 (3)在进入实验室进行实际操作后,提交课程设计报告。报告格式参照中原工学院课程设计指导手册。 4、分组安排: 实验操作分两组进行:一组进行电路安装、调试,一组进行设计电路原理图、软件仿真。然后再对调工作。 5、时间安排: (1)第1天:布置任务,讲解设计方法,进行预设计; (2)第2-3天:第一组进行电路安装、调试,第二组进行设计电路原理图、软件仿真。 (3)第3-4天:第二组进行电路安装、调试,第一组进行设计电路原理图、软件仿真。 (4)第5天:撰写设计报告。

目录 一、绪论 (4) 1.1设计目的 (4) 1.2设计内容 (4) 1.3设计要求 (4) 1.4设计流程 (5) 二、课程设计详细内容及步骤 (6) 2.1信号源产生模块 (6) 2.2载频信号产生模块 (9) 2.3AM调制器模块 (12) 2.4AM解调器模块 (14) 三、课程设计过程分析 (17) 3.1仿真分析 (17) 3.2焊接连线调试分析过程 (22) 3.3遇到问题,解决办法及心得体会 (24) 四、参考文献 (24) 附录A工具元件清单附录B仿真结果

51单片机信号发生器实验报告

微控制器技术创新设计实验报告姓名:学号:班级: 一、项目背景 信号发生器也叫做振荡器或是信号源,在现在的科技生产实践中有着广泛而重要的应用。现在的特殊波形发生器在价格上不够经济,有些昂贵。而基于AT89C51单片机的函数信号发生器可以满足此要求。根据傅里叶变换,各种波形均可以用三角函数的相关式子表示出来。函数信号发生器能够产生多种波形,如三角波、锯齿波、矩形波、方波和正弦波。 二、项目整体方案设计 可以利用单片机编程的方法来实现波形的输出。可选用AT89C51作为控制器,输出相应波形的数字信号,再用D/A 转换器输出相应波形的模拟信号。用DAC0832作为D/A转换器,再经过两级放大后输出,最终在示波器上显示。可以使用按键扫描来实现波形的变化

三、硬件设计 四、软件设计 #include #define uchar unsigned char #define uint unsigned int Const tab[]={0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0xff,0xff ,0xff,0xff,0xff,0xff,0xff,0xff}; void delay(void) { uchar i; for(i=230;i>0;i--); }

void main() { uchar i; while(1) { for(i=0;i<18;i++) { P1=tab[i]; delay(); } } } 五、实验结果

六、项目总结 通过这次实验设计,锻炼我们综合运用知识,提出问题,分析问题,及解决问题的能力。我感慨颇多,在着手设计的这段日子里,我又学到了很多东西。特别是理论联系实际。我认为掌握单片机的应用及开发技术是最基本的也是必要的。单片机是以后从事相关嵌入式研发最为基本的入门芯片。所以学好单片机是我们电子类的必要任务。通过这次单片机课程设计的顺利完成,离不开付老师指导,也离不开班上同学的耐心帮助。在此,我对所有帮助过我的老师和同学表示我真挚的感谢!

实验四 多种信号音及铃流信号发生器

学院:专业:班级:

图4—1 本实验系统传送信号流程图 4、数字信号的产生 在数字程控交换机中直接进行交换的是PCM数字信息,在这样的情况下如何使用户家收到信号音(如拨号音、回铃音、忙音等)是一个重要的问题。因为模拟信号产生的信号音是不能通过PCM交换系统的,这就要求设计一个数字信号发生器,使之能与交换网络输出这样一些PCM信息,这些数字信息经过非线性译码后能成为一个我们所需的模拟信号音。 )传统方式产生数字信号音

图4—3 450HZ正弦波信号一个周期取样示意图 我们对正弦信号再以每隔125us取样一次,并将取样所得的正弦信号幅度按照A规律十 图4—4 数字信号产生电流原理图 5、拨号音及控制电路 主叫用户摘机,CPU检测到该用户有摘机状态后,立即向该用户发出声音信号,表示可以拨号,当CPU中央处理单元收到第一个拨号脉冲后,立即切断该声音信号,该声音信号就叫拨号音。拨号音由上述数字信号产生,一旦一有用户摘机,交换网路把数字信号音送给该用户,经过TP3067的译码,提供给用户450hz的正弦波。

图4—5断续电路原理图 7、忙音及控制电路 忙音表示被叫用户处于忙状态,此时用户应该挂机,等一会在从新呼叫 本试验箱大于采用0、35秒断,0、35秒继续的400hz—450hz的方波信号,图4—6是该电路的原理图。 图4—6忙音控制电路的原理图。

图4—7铃流信号发生电路的原理图 上述四种信号在本实验系统中均有具体的电路实现,然而在程控交换机中,信号音还不止上述几种,在此做一简单介绍,不作实验要求。 1、数字程控交换原理实验箱 2、电话机 F=25hz,Vpp=2.0V

高频课程设计 高频小信号调谐放大器

《通信电子线路》课程设计说明书高频小信号调谐放大器 学院:电气与信息工程学院 学生姓名: 指导教师:职称副教授 专业:电子信息工程 班级:电子1302 学号:13303402 完成时间:2016年1月8日

摘要 高频小信号放大器广泛用于广播、电视、通信、测量仪器等设备中。它能感应到的众多微弱高频小信号(输入信号电压一般在uV至mV量级附近的信号),然后利用LC谐振回路作为选频网络,和三极管的放大作用,选出有用的频率信号加以放大,并且对于无用的频率信号进行抑制。所以位于接收机接收端的高频小信号谐振放大器是构成无线电通信设备的重要电路。 该课题所设计的谐振放大器主要由放大器和调谐回路两部分组成,设计过程中,先在Multisim10电路仿真软件上进行了电路仿真,然后结合实际情况,绘制原理图,购买元器件画PCB电路图,最后进行了实物制作和调试。实际电路里,使用10MHz的中周代替了不易调节的LC选频回路,选用了s9014三极管来实行放大环节的放大,而射极电阻选了一个电位器,用于调整射极电阻从而改变放大器的放大增益。仿真及实物调试结果:谐振频率在10MHz,电路也有一定的增益,说明设计成功。 关键词:高频小信号;LC谐振回路;s9014 i

目录 1 绪论 (i) 1.1 课题的研究意义 (i) 2 电路分析及原理分析 (iii) 2.1 单元电路分析 (iii) 2.2 整体电路分析 (iv) 3 性能指标 (viii) 3.1 电压增益 (viii) 3.3 通频带 (ix) 3.4 矩形系数 (ix) 4 仿真与调试结果 (x) 4.1仿真结果分析 (x) 4.2 实物调试数据 (xi) 4.3 性能指标计算 (xi) 4.4 误差分析 (xi) 心得体会 (xiii) 参考文献 (xiv) 致谢 (xv) 附录 (xvi) 附录A (xvi) 附录B..................................................................................................................................... x vii 附录C.................................................................................................................................... x viii 附录D...................................................................................................................................... x ix 1 绪论 1.1 课题的研究意义 随着科学技术的不断发展,无线电技术广泛应用于国民经济、军事和人们日常生活的各个领域,技术水平也越来越高。在无线电通信系统中,电信号是通过无线以电磁波的形式向空间辐射传输的。所以在无线电技术中,经常会面对这样的问题,所接受到的信号很弱,很容易受到其他信号和噪声等的干扰,而且在长距离的通信运输中信号也会衰减和,到达接收设备的信号变得非常弱,很难保证信息的准确性。故在传输过程中,要对接收到的信号进行选频和放大,保证传递到接收设备上的信息的准确性,减少失误。这样就要利用高频小信号调谐放大器

相关文档
最新文档