四位加法器设计

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

EDA技术与应用

实验报告

实验名称:四位加法器设计

*名:**

学号:*********

班级:电信二班

时间:2012.11.20

南京理工大学紫金学院电光系

一、 实验目的(四号+黑体)

1)讲解Quartus Ⅱ 软件和实验箱的使用。

2)利用原理图输入法实现4位串行进位加法器。

3)重点掌握软件使用过程中工程建立、原理图输入方法、编译、仿真、管脚配置等。

二、 实验原理

1) 全加器表达式为:

1

i i i i S A B C -=⊕⊕1()i i i i i i

C A B C A B -=⊕+

全加器逻辑符号:

2) 4位串行进位加法器逻辑图:

使用Quartus Ⅱ软件进行设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程。

三、 实验内容

1) Quartus Ⅱ软件简介

1. Quartus Ⅱ支持和其它公司所提供的EDA 工具接口。

2. 提供了与结构无关的可编程逻辑设计环境。

3. 提供丰富的库单元供设计者调用,其中包括74系列的全部

器件和多种特殊的逻辑功能

4. 软件支持硬件描述语言设计输入选项,包括VHDL、Verilog HDL和Altera自己的硬件描述语言AHDL。

2)利用QuartusⅡ软件按图示设计一位全加器:

进行编译,然后建立并保存波形文件(如图);仿真生成虚拟一位全加器。

3)将4个一位全加器连成一个4位串行进位加法器,如图

4)保存好后,将4位串行进位加法器设置为顶层实体如图

5)为电路分配管脚

a0-a3为输入管脚,对应实验箱键1-4,二进制变量a低位->高位

b0-b3为输入管脚,对应实验箱键5-8,二进制变量b低位->高位

c0输出管脚,对应实验箱LED灯D5,进位输出端

s0-s3为输出管脚,对应实验箱LED灯D1-D4,二进制结果变量s低位->高位

配置好后进行编译。

6)将配置好的文件下在到实验箱利用模式5验证电路的正确性。

四、小结与体会

1.quartus 2 软件使用还不熟练,软件首先应建立工程,然后建立工程文件,再编

译,最后建立波形文件。VHDL文件的顶层实体名,文件名,实体名须一致,否则编译报错。

2.设计过程中使用自顶向下的的设计模式,模块化的方法,便于设计与模块调试,以

及模块的复用

3.模块间的联系可使用signal信号相联系,使用时需注意与原理图对应

这次实验我将书上学到的知识马上应用到软件中,我意识到了实践的重要性和软件的实践性。我深深地感到要学好自己的专业还需继续努力。

相关文档
最新文档