移相器实验报告

移相器实验报告
移相器实验报告

西安邮电大学

电子科学技术前沿实验报告

实验名称:移相器实验

姓名:于小媛

专业:物理电子学

学号:1606210002

移相器实验

实验目的:

了解运算放大器构成的移相电路的原理及工作情况

实验仪器:

移相器、音频振荡器、双线(双踪)示波器、主、副电源

实验原理:

移相器:一种用以调节交流电压相位的装置。移相器一般是多相的,其结构如图所示。它和一台被旋转的绕线式三相异步电动机相似。通常定子绕组作为原绕组,转子绕组为副绕组。在移相器的转子转轴上装有一套蜗轮蜗杆。转动蜗轮蜗杆,能使移相器的转子相对于定子在一定范围内转动。当定子上的原绕组接三相交流电源后,气隙里产生的旋转磁场将在原、副绕组中分别感应出电动势E1和E2。其大小与各绕组的有效匝数成正比,而相位决定于原、副绕组轴线之间的相对位置。例如原、副绕组轴线在空间位置上彼此相差α电角度,忽略它们的漏阻抗电压降,可以得到原、副边电压的关系为

U1≈-E1

式中nsr是原、副边绕组的变比。改变转子的位置,可以改变副边电压相对于原边电压的相位,但输出电压的大小不变。

运算放大器:运放如图有两个输入端a(反相输入端),b(同相输入端)和一个输出端o。也分别被称为倒向输入端非倒向输入端和输出端。当电压U-加在a 端和公共端(公共端是电压为零的点,它相当于电路中的参考结点。)之间,且其实际方向从a 端高于公共端时,输出电压U实际方向则自公共端指向o端,即两者的方向正好相反。当输入电压U+加在b端和公共端之间,U与U+两者的实际方向相对公共端恰好相同。为了区别起见,a端和b 端分别用"-"和"+"号标出,但不要将它们误认为电压参考方向的正负极性。电压的正负极性应另外标出或用箭头表示。反转放大器和非反转放大器如下图:

一般可将运放简单地视为:具有一个信号输出端口(Out)和同相、反相两个高阻抗输入端的高增益直接耦合电压放大单元,因此可采用运放制作同相、反相及差分放大器。

运放的供电方式分双电源供电与单电源供电两种。对于双电源供电运放,其输出

可在零电压两侧变化,在差动输入电压为零时输出也可置零。采用单电源供电的运放,输出在电源与地之间的某一范围变化。

运放的输入电位通常要求高于负电源某一数值,而低于正电源某一数值。经过特殊设计的运放可以允许输入电位在从负电源到正电源的整个区间变化,甚至稍微高于正电源或稍微低于负电源也被允许。这种运放称为轨到轨(rail-to-rail)输入运算放大器。

运算放大器的输出信号与两个输入端的信号电压差成正比,在音频段有:输出电压=A0(E1-E2),其中,A0 是运放的低频开环增益(如 100dB,即 100000 倍),E1 是同相端的输入信号电压,E2 是反相端的输入信号电压。

实验步骤:

(1)了解移相器在实验仪所在位置及电路原理

(2)将音频振荡器的信号引入移相器的输入端(音频信号从0°、180°插口输出均可),开启主、副电源。

(3)将示波器的两根线分别接到移相的输入和输出端,调整示波器,观察示波器的波形。

(4)旋动移相器上的电位器,观察两个波形间相位的变化。

(5)改变音频振荡器的频率,观察不同频率的最大移相范围。

实验数据:

通过观察当音频振荡器的频率为4khz、5khz、6khz、7khz、8khz、9khz、10khz 时最大移相范围是不同的。

单片机原理及接口技术实验报告

单片机原理及接口技术 实验报告 任课教师 班级 姓名 日期

实验一构建单片机最小系统和实验环境熟悉 一、单片机最小系统的组成原理图 二、单片机的工作原理: 1.运算器 运算器包括算术逻辑运算单元ALU、累加器ACC、寄存器B、暂存器TMP、程序状态字寄存器PSW、十进制调整电路等。它能实现数据的算术逻辑运算、位变量处理和数据传送操作。 (1)算术逻辑单元ALU ALU在控制器根据指令发出的内部信号控制下,对8位二进制数据进行加、减、乘、除运算和逻辑与、或、非、异或、清零等运算。它具有很强的判跳、转移、丰富的数据传送、提供存放中间结果以及常用数据寄存器的功能。MCS-51中位处理具有位处理功能,特别适

用于实时逻辑控制。 (2)累加器ACC 累加器ACC是8位寄存器,是最常用的专用寄存器,它既可存放操作数,又可存放运算的中间结果。MCS—51系列单片机中许多指令的操作数来自累加器ACC。累加器非常繁忙,在与外部存储器或I/O接口进行数据传送时,都要经过A来完成。 (3)寄存器B 寄存器B是8位寄存器,主要用于乘、除运算。乘法运算时,B中存放乘数,乘法操作后,高8位结果存于B寄存器中。除法运算时,B中存放除数,除法操作后,余数存于寄存器B中。寄存器B也可作为一般的寄存器用。 (4)程序状态字PSW 程序状态字是8位寄存器,用于指示程序运行状态信息。其中有些位是根据程序执行结果由硬件自动设置的,而有些位可由用户通过指令方法设定。PSW中各标志位名称及定义如下: CY():进(借)位标志位,也是位处理器的位累加器C。在加减运算中,若操作结果的最高位有进位或有借位时,CY由硬件自动置1,否则清“0”。在位操作中,CY作为位累加器C 使用,参于进行位传送、位与、位或等位操作。另外某些控制转移类指令也会影响CY位状态(第三章讨论)。 AC():辅助进(借)位标志位。在加减运算中,当操作结果的低四位向高四位进位或借位时此标志位由硬件自动置1,否则清“0”。 F0():用户标志位,由用户通过软件设定,决定程序的执行方式。 RS1(),RS0():寄存器组选择位。用于设定当前通用寄存器组的组,其对应关系如下:

译码器实验报告

译码器实验报告 一、实验目的 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验原理 译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器可分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码器。 变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n个输出端供其使用。而每一个输出所

代表的函数对应于n个输入变量的最小项。 三、实验设备与器件 1.+5V直流电源 2.单次脉冲源 3.逻辑电平开关 4.74LS138 四、实验内容及步骤 1.74LS138译码器逻辑功能测试 将译码器使能端STA、STB、STC与地址端A2、A1、A0分别接到逻辑电平开关输入口,八个输出端Y7…Y0依次连接在十六位逻辑电平显示上,拨动逻辑电平开关,逐项测试74LS138的逻辑功能。2.实验箱电源连接正确,电路自查确定无误后,电路验证还是不正确的情况下进行下面的排错检查:

1)检查芯片的电源和地的电平是否正确。 2)芯片的使能端连接的电平正确。 3)从逻辑电平开关输入信号是否正确。 4)从输出端按逻辑功能状态往前一步一步排查。 3.两片3线-8线译码器74LS138扩展为4线-16线译码器 用两片74LS138组合成一个四线-十六线译码器进行实验,并分析逻辑功能。

传感器实验报告1

机 械 工 程 测 试 实 验 报 告 学 院: 机电工程学院 系 专业班级: 机制122 学生姓名: 黄余林 龙杰 李刚 孙龙宇 朱国帅 实验日期: 备,

目录 实验一箔式应变片性能—单臂电桥??????????????????????????????????????????????????????????????????????1 1 .1 实验目的????????????????????????????????????????????????????????????????????????????????????????????????????????1 1. 2 实验原理????????????????????????????????????????????????????????????????????????????????????????????????????????1 1. 3 实验原理????????????????????????????????????????????????????????????????????????????????????????????????????????1 1. 4 实验步骤????????????????????????????????????????????????????????????????????????????????????????????????????????1 1. 5 注意事项????????????????????????????????????????????????????????????????????????????????????????????????????????3 1. 6试验数据?????????????????????????????????????????????????????????????????????????????????????????????????????????3

微机原理简单输入输出实验报告

东南大学 《微机实验及课程设计》 实验报告 实验四(1)简单输入输出 一. 实验目的与内容 (一)实验目的 1)进一步掌握TPC实验装置的基本原理和组成结构; 2)掌握利用I/O指令单步调试检查硬件接口功能,学会利用示波器检测I/O指令执行时总线情况; 3)掌握简单并行输入输出接口的工作原理及使用方法,进一步熟悉掌握输入输出单元的功能

和使用。 (二)实验内容及要求 1、输出接口输出,根据8个发光二极管发光的情况验证编程从键盘输入一个字符或数字,将其ASCII码通过正确性。(输出端口实验必做) 2、用逻辑电平开关预置某个字母的ASCII码,编程输入这个ASCII码,并将其对应字母在屏幕上显示出来。(输入端口实验必做) 3、利用基本实验(1)中的输出锁存电路,设计L0~L7为流水灯,流水间隔时间由软件产生。(输出端口实验) 4、利用基本实验(2)中的输入电路,监测逻辑电平开关K0~K7的变换,当开关状态为全开时,在屏幕上显示提示信息并结束程序。(输入端口实验) 要求: 1、输入输出端口应该可以响应外设的连续变化。 2、输出按ESC键退出;输入按任意键退出。 3、内容3、4比做一题,选做一题 二. 实验基本原理 (一)基本原理 简单并行输出实验:八D触发器74LS273的八个输入端接数据总线D0~D7,从键盘输入一个字符或数字,通过2A8H~输出接口输出该字符或者数字代表的ASCII码,再通过8个发光二极管发光显示二进制数码,从而验证编程以及电路的正确性。 简单并行输入实验:八缓冲器74LS244的八个输出端接数据总线D0~D7,8个输入端分别接逻辑电平开关K0~K7,在逻辑电平开关上预置任意字符的ASCII码,编程将此ASCII码通过2A0H~输入,ASCII码对应字符显示在屏幕上,从而验证编程及电路的正确性。 (二)实验接线图

传感器实训心得体会.doc

传感器实训心得体会 篇一:传感器实训心得 实训报告 学了一学期的传感器实训心得体会)传感器,在最后期末的时候我们也参加了传感器这一学科的实训,收获还是颇多。 在做测试技术的实验前,我以为不会难做,就像以前做物理实验一样,做完实验,然后两下子就将实验报告做完.直到做完测试实验后,才知道其实并不容易做,但学到的知识与难度成正比,使我们受益匪浅.做实验时,最重要的是一定要亲力亲为,务必要将每个步骤,每个细节弄清楚,弄明白,这样,也会有事半功倍的效果。 实验就是使我们加深理解所学基础知识,掌握各类典型传感器、记录仪器的基本原理和适用范围;具有测试系统的选择及应用能力;具有实验数据处理和误差分析能力;得到基本实验技能的训练与分析能力的训练,使我们初步掌握测试技术的基本方法,具有初步独立进行机械工程测试的能力,对各门知识得到融会贯通的认识和掌握,加深对理论知识的理解。更重要的是能够提高我们的动手能力。 这次实习的却让我加深了对各种传感器的了解和它们各自的原理,而且还培养我们分析和解决实际问题的能力。 在做实验的时候,连接电路是必须有的程序,也是最重要的,而连接电路时最重要的就是细心。我们俩最开始做实验的时候,并没有多注意,还是比较细心,但当我们把电路连接好通电后发现我们并不能得到数据,不管怎么调节都不对,后来才知道是我们电路连接错了,然

后我们心里也难免有点失落,因为毕竟是辛辛苦苦连了这么久的电路居然是错了,最后我们就只有在认真检查一次,看错啊你处在哪里。有了这次的经验下次就更加细心了。以上就是我们组两人对这次实训最大的感触,下次实训虽然不是一样的学科,但实验中的经验和感受或许会有相似的,我们会将这次的经验用到下次,经验不断积累就是我们实训最大的收获。 篇二:传感器实训报告 上海第二工业大学 传感器与测试技术技能实习 专业:机械电子工程 班级:10机工A2 姓名: 学号: 指导老师:杨淑珍 日期:2013年6月24日~7月7日 项目五:转子台转速测量及振动监控系统。 (一)内容 设计一个转子台的振动检测系统,能实时测量转子台工作时的振动信号(振幅)并实时显示转速,当振幅超过规定值时,报警。具体要求: 1.能测量振动信号并显示波形,若振动超过限值,报警(软硬件报警); 2.能测量并显示转子的转速; 3.限值均由用户可设定(最好以对话框方式设置,软件重新打开后,能记住上次的设置结果);

计算机组成原理实验报告

《计算机组成原理》 实验报告 实验室名称:S402 任课教师:邹洋 小组成员:王娜任芬 学号:2010212121 2010212119

实验一_HAMMING码 (2) 实验二_乘法器 (7) 实验三_时序部件 (16) 实验四_CPU__算术逻辑单元实验 (24) 实验五_CPU__指令译码器实验 (32) 实验六_CPU_微程序控制器实验1 (43) 实验七_八_CPU实验 (59)

1 编码实验:Hamming码 1.1、实验目的 1、对容错技术有初步了解,理解掌握海明码的原理 2、掌握海明码的编码以及校验方法 1.2、实验原理 海明码是由Richard Hamming于1950年提出的,目前是被广泛采用的很有效的校验编码。它的特点是只要增加少数几个校验位,就能检测出多位出错,并能自动纠错。 Hamming码的实现原理是在数据中加入几个校验位,将数据代码的码距比较均匀的拉大,并把数据的每一个二进制位分配在几个奇偶校验组中。当某一位出错后,就会引起有关的几个校验位的值发生变化。这不但可以发现出错,还能指出是哪一位出错,为进一步自动纠错提供了依据。 假设校验位的个数为r,则它能表示2r个信息,用其中的一个信息指出“没有错误”,其余的2r-1个信息指出错误发生在哪一位。然而错误也可能发生在校验位,因此只有k=2r-1-r个信息能用于纠正被传送数据的位数,也就是说要满足关系: 2r≥k+r+1 若要能检测与自动校正一位错,并能发现两位错,此时校验位的位数r和数据位的位数k应满足下述关系:2r-1≥k+r 按上述不等式,可计算出数据位k与校验位r的对应关系,如表1.1所示: 表1.1 数据位k与校验位r的对应关系 k值最小的r值 1~3 4 4~10 5 11~25 6 26~56 7 57~119 8 若海明码的最高位号为m,最低位号为1,即H m H m-1…H2H1,则此海明码的编码规律通常是 1)校验位与数据位之和为m,每个校验位P i在海明码中被分在位号为2i-1的位置上,其余各位为数据位,并按从低向高逐位依次排列的关系分配各数据位。 2)海明码的每一位码H i(包括数据位和校验位本身)由多个校验位校验,其关系是被校验的每一位位号等于校验它的各校验位的位号之和。 3)在增大合法码的码距时,所有码的码距应尽量均匀增大,以保证对所有码的检错能力平衡提高。 下面具体看一下对一个字节进行海明编码的实现过程。 只实现一位纠错两位检错,由前面的表可以看出,8位数据位需要5位校验位,可表示为H13H12…H2H1。 五个校验位P5~P1对应的海明码位号分别为H13、H8、H4、H2和H1。P5只能放在H13位

编码器和译码器实验报告

译码器、编码器及其应用 一、实验目的 (1) 掌握中规模集成译码器的逻辑功能和使用方法; (2) 熟悉掌握集成译码器和编码器的应用; (3) 掌握集成译码器的扩展方法。 二、实验设备 数字电路实验箱,74LS20,74LS138。 三、实验内容 (1) 74LS138译码器逻辑功能的测试。将74LS138输出??接数字实验箱LED 管,地址输入接实验箱开关,使能端接固定电平(或GND)。电路图如Figure 1所示: Figure 2 ??????????????时,任意拨动开关,观察LED显示状态,记录观察结果。 ??????????????时,按二进制顺序拨动开关,观察LED显示状态,并与功能表对照,记录观察结果。 用Multisim进行仿真,电路如Figure 3所示。将结果与上面实验结果对照。

Figure 4 (2) 利用3-8译码器74LS138和与非门74LS20实现函数: ?? 四输入与非门74LS20的管脚图如下: 对函数表达式进行化简: ?? ?? A ? ??????????? ???? 按Figure 5所示的电路连接。并用Multisim进行仿真,将结果对比。 Figure 6

(3) 用两片74LS138组成4-16线译码器。 因为要用两片3-8实现4-16译码器,输出端子数目刚好够用。 而输入端只有 A、、三个,故要另用使能端进行片选使两片138译码器 进行分时工作。而实验台上的小灯泡不够用,故只用一个灯泡,而用连接灯泡的导线测试?,在各端子上移动即可。在multisim中仿真电路连接如Figure 7所示(实验台上的电路没有接下面的两个8灯LED): Figure 8 四、实验结果 (1) 74LS138译码器逻辑功能的测试。 当输入 A时,应该是输出低电平,故应该第一个小灯亮。实际用实验台测试时,LE0灯显示如Figure 9所示。当输入 A时,应该是输出低电平,故理论上应该第二个小灯亮。实际用实验台测试时,LE0灯显示如Figure 6所示。 Figure 10

实验六-实验报告

《数据库原理》实验报告 实验六、视图和图表的定义及使用实验 姓名胡艺敏学号38 系别 女 数计学院 班 级 11计科师 范 主讲教师江凤莲指导教师江凤莲实验日期2013 4-27 专 业 计算机 课程名称数据库原理同组实验者 一、实验目的 使学生掌握利用SQL Server企业管理器的视图创建向导和图表创建向导建立视图表和关系图(图表),加深对视图和图表概念的理解,了解视图和图表的作用。 二、实验要求 1)调出创建视图向导,在图书-读者库中按下列T-SQL描述创建读者视图。 CREATE VIEW 读者_VIEW AS SELECT 图书.*,借阅.* FROM 图书,借阅,读者 WHERE 图书.书号=借阅.书号AND借阅.读者编号=读者.编号; 2)调出向导,按T-SQL描述创建借阅_计算机图书视图。 CREATE VIEW 借阅_计算机图书 AS SELECT 图书.*,借阅.* FROM 图书,借阅 WHERE 图书.书号=借阅.书号AND图书.类别=‘计算机’ 3)调出创建图表向导,完成在图书_读者数据库中建立图书_借阅图表的操作。要求该图表包括图书和借阅两个表,通过“图书.书号=借阅.书号”外码与被参照表之间的关联。 4)查看以上视图和图表的属性,并修改到正确为止。 三、实验类型:验证、设计、综合 四、实验环境

Microsoft SQL Server 2000 五、实验内容: (1)实验代码(可加附页): (1)基本操作实验 1)查看图书-读者库结构信息,根据给定的T-SQL语句确定视图结构信息,如表10所示。 表10 视图结构信息 序号视图名 数据库 名 相关表名列定义元组定义 1 读者_VIEW 图书-读 者 图书,借阅, 读者 图书.*, 借阅.* 图书.书号=借阅.书号 AND 借阅.读者编号=读者. 编号 2 借阅_计算 机图书 图书-读 者 图书,借阅 图书.*, 借阅.* 图书.书号=借阅.书号 AND图书.类别='计算机' 2)查看图书-读者库结构信息,根据题目要求确定图表结构信息,如表11所示。 表11 图表结构信息 图表名数据库名主表名参照表 名 关联定义 读者_VIEW 图书-读 者 借阅图书图书.书号=借阅.书号 (2)实验结果(可加附页):

传感器实验报告

传感器实验报告(二) 自动化1204班蔡华轩 U2 吴昊 U5 实验七: 一、实验目的:了解电容式传感器结构及其特点。 二、基本原理:利用平板电容C=εA/d 和其它结构的关系式通过相应的结 构和测量电路可以选择ε、A、d 中三个参数中,保持二个参数不变,而只改变其中一个参数,则可以有测谷物干燥度(ε变)测微小位移(变d)和测量液位(变A)等多种电容传感器。 三、需用器件与单元:电容传感器、电容传感器实验模板、测微头、相敏 检波、滤波模板、数显单元、直流稳压源。 四、实验步骤: 1、按图6-4 安装示意图将电容传感器装于电容传感器实验模板上。 2、将电容传感器连线插入电容传感器实验模板,实验线路见图7-1。图 7-1 电容传感器位移实验接线图 3、将电容传感器实验模板的输出端V01 与数显表单元Vi 相接(插入主控 箱Vi 孔),Rw 调节到中间位置。 4、接入±15V 电源,旋动测微头推进电容传感器动极板位置,每间隔 记下位移X 与输出电压值,填入表7-1。

5、根据表7-1 数据计算电容传感器的系统灵敏度S 和非线性误差δf。 图(7-1) 五、思考题: 试设计利用ε的变化测谷物湿度的传感器原理及结构,并叙述一 下在此设计中应考虑哪些因素 答:原理:通过湿度对介电常数的影响从而影响电容的大小通过电压表现出来,建立起电压变化与湿度的关系从而起到湿度传感器的作用;结构:与电容传感器的结构答大体相同不同之处在于电容面板的面积应适当增大使测量灵敏度更好;设计时应考虑的因素还应包括测量误差,温度对测量的影响等

六:实验数据处理 由excle处理后得图线可知:系统灵敏度S= 非线性误差δf=353=% 实验八直流激励时霍尔式传感器位移特性实验 一、实验目的:了解霍尔式传感器原理与应用。 二、基本原理:霍尔式传感器是一种磁敏传感器,基于霍尔效应原理工作。 它将被测量的磁场变化(或以磁场为媒体)转换成电动势输出。 根据霍尔效应,霍尔电势UH=KHIB,当霍尔元件处在梯度磁场中 运动时,它就可以进行位移测量。图8-1 霍尔效应原理

计算机组成原理实验报告 指令译码器

河北大学计算机组成原理实验报告 实验项目指令译码器成绩 一、实验目的: (1)理解指令译码器的作用和重要性。 (2)学习设计指令译码器。 二、实验原理: 指令译码器是计算机控制器中最重要的部分。所谓组合逻辑控制器就是指指令译码电路是由组合逻辑实现的。 组合逻辑控制器又称硬连线控制器,是设计计算机的一种方法。这种控制器中的控制信号直接由各种类型的逻辑门和触发器等构成。这样,一旦控制部件构成后,除非重新设计和物理上对它重新连线,否则要想增加新的功能是不可能的。结构上这种缺陷使得硬连线控制器的设计和调试变得非常复杂而且代价很大。所以,硬连线控制器曾一度被微程序控制器所取代。但是随着新一代及其及VLSI技术的发展,这种控制器又得到了广泛重视,如RISC机广泛使用这种控制器。 图6-42是组合逻辑控制器的方框图。逻辑网络的输入信号来源有3个:①指令操作码译 码器的输出I n ;②来自时序发生器的节拍电位信号T k ;③来自执行部件的反馈信号B j 。逻辑网 络的输出信号就是微操作控制信号,用来对执行部件进行控制。

图6-42 组合逻辑控制器的结构方框图 组合逻辑控制器的基本原理可描述位:某一微操作控制信号C m 是指令操作码译码器的输出I n 、时序信号(节拍电位信号T k )和状态条件信号B j 的逻辑函数。即 C m =f(I n ,T k ,B j ) 用这种方法设计控制器,需要根据每条指令的要求,让节拍电位和时序脉冲有步骤地去控制机器的各有关部分,一步一步地执行指令所规定的微操作,从而在一个指令周期内完成一条指令所规定的全部操作。 三、实验步骤: (1)将试验台设置成FPGA-CPU 独立调试模式,REGSEL=0、CLKSEL=1、FDSEL=0。使用试验台上的单脉冲,即STEP_CLK 短路子短接,短路子RUN_CLK 断开。 (2)将设计在QuartusII 下输入,变异后下载到TEC-CA 上的FPGA 中。 (3)拨动试验台上的开关SD 5~SD 0,改变IR[15..12]、进位标志C 和结果为0标志Z ,观察指示灯R 10~R 0显示的控制信号,并填写表6-28。 四、实验现象及分析:

哈夫曼编码译码器实验报告免费

哈夫曼编码译码器实验报告(免费)

————————————————————————————————作者:————————————————————————————————日期:

问题解析与解题方法 问题分析: 设计一个哈夫曼编码、译码系统。对一个ASCII编码的文本文件中的字符进行哈夫曼编码,生成编码文件;反过来,可将编码文件译码还原为一个文本文件。 (1)从文件中读入任意一篇英文短文(文件为ASCII编码,扩展名为txt); (2)统计并输出不同字符在文章中出现的频率(空格、换行、标点等也按字符处理);(3)根据字符频率构造哈夫曼树,并给出每个字符的哈夫曼编码; (4)将文本文件利用哈夫曼树进行编码,存储成压缩文件(编码文件后缀名.huf)(5)用哈夫曼编码来存储文件,并和输入文本文件大小进行比较,计算文件压缩率;(6)进行译码,将huf文件译码为ASCII编码的txt文件,与原txt文件进行比较。 根据上述过程可以知道该编码译码器的关键在于字符统计和哈夫曼树的创建以及解码。 哈夫曼树的理论创建过程如下: 一、构成初始集合 对给定的n个权值{W1,W2,W3,...,Wi,...,Wn}构成n棵二叉树的初始集合 F={T1,T2,T3,...,Ti,...,Tn},其中每棵二叉树Ti中只有一个权值为Wi的根结 点,它的左右子树均为空。 二、选取左右子树 在F中选取两棵根结点权值最小的树作为新构造的二叉树的左右子树,新二 叉树的根结点的权值为其左右子树的根结点的权值之和。 三、删除左右子树 从F中删除这两棵树,并把这棵新的二叉树同样以升序排列加入到集合F中。 四、重复二和三两步, 重复二和三两步,直到集合F中只有一棵二叉树为止。 因此,有如下分析: 1.我们需要一个功能函数对ASCII码的初始化并需要一个数组来保存它们; 2.定义代表森林的数组,在创建哈夫曼树的过程当中保存被选中的字符,即给定报文 中出现的字符,模拟哈夫曼树选取和删除左右子树的过程; 3.自底而上地创建哈夫曼树,保存根的地址和每个叶节点的地址,即字符的地址,然 后自底而上检索,首尾对换调整为哈夫曼树实现哈弗曼编码; 4.从哈弗曼编码文件当中读入字符,根据当前字符为0或者1的状况访问左子树或者 右孩子,实现解码; 5.使用文件读写操作哈夫曼编码和解码结果的写入; 解题方法: 结构体、数组、类的定义: 1.定义结构体类型的signode 作为哈夫曼树的节点,定义结构体类型的hufnode 作为

传感器综合的实验报告

传感器综合实验报告( 2012-2013年度第二学期) 名称:传感器综合实验报告 题目: 利用传感器测量重物质量院系:自动化系 班级:测控1201 班 小组成员:加桑扎西,黄承德 学生:加桑扎西 指导教师:仝卫国 实验周数:1周 成绩:

日期:2015 年7 月12日

传感器综合实验报告 一、实验目的 1、了解各种传感器的工作原理与工作特性。 2、掌握多种传感器应用于电子称的原理。 3、根据不同传感器的特性,选择不同的传感器测给定物体的重量。 4、能根据原理特性分析结果,加深对传感器的认识与应用。 5、测量精度要求达到1%。 二、实验设备、器材 1、金属箔式应变片传感器用到的设备: 直流稳压电源、双平行梁、测微器、金属箔式应变片、标准电阻、差动放大器、直流数字电压表。 2、电容式传感器用到的设备: 电容传感器、电容变换器、差动放大器、低通滤波器、电压表、示波器。 3、电涡流式传感器用到的设备: 电涡流式传感器、测微器、铝测片、铁测片、铜测片、电压表、示波器。 三、传感器工作原理 1、电容式传感器的工作原理: 电容器的电容量C是的函数,当被测量变化使S、d或 任意一个参数发生变化时,电容量也随之而变,从而可实现由被测量到电容量的转换。电容式传感器的工作原理就是建立在上述关系上的,若保持两个参数不变,仅改变另一参数,

就可以把该参数的变化转换为电容量的变化,通过测量电路再转换为电量输出。 差动平行变面积式传感器是由两组定片和一组动片组成。当安装于振动台上的动片上、下改变位置,与两组静片之间的相对面积发生变化,极间电容也发生相应变化,成为差动电容。如将上层定片与动片形成的电容定为C X1,下层定片与动片形成的电容定为C X2,当将C X1和C X2接入双T型桥路作为相邻两臂时,桥路的输出电压与电容量的变化有关,即与振动台的位移有关。依据该原理,在振动台上加上砝码可测定重量与桥路输出电压的对应关系,称未知重量物体时只要测得桥路的输出电压即可得出该重物的重量。 2、电涡流式传感器的工作原理: 电涡流式传感器由平面线圈和金属涡流片组成,当线圈中通以高频交变电流后,与其平行的金属片上感应产生电涡流,电涡流的大小影响线圈的阻抗Z,而涡流的大小与金属涡流片的电阻率、导磁率、厚度、温度以及与线圈的距离X有关。当平面线圈、被测体(涡流片)、激励源已确定,并保持环境温度不变,阻抗Z只与X距离有关。将阻抗变化经涡流变换器变换成电压V输出,则输出电压是距离X的单值函数。依据该原理可制成电涡流式传感器电子称。3、金属箔式应变片传感器工作原理: 应变片应用于测试时,应变片要牢固地粘贴在测试体表面,当测件受力发生形变,应变片的敏感栅随同变形,其电阻值也随之发生相应的变化。通过测量电路,转换成电信号输出显示。 实验中,通过旋转测微器可使双平梁的自由端上、下移动,从而使应变片的受力情况不同,将应变片接于电桥中即可使双平衡的位移转换为电压输出。电桥的四个桥臂电阻R1、R2、R3、R4,电阻的相对变化率分别为△R1/R1、△

74LS181实验报告

学生实验报告 实验名称用Verilog HDL语句实现74LS181的功能 实验日期2013 年10月19号 学号 姓名 班级计算机科学与技术一班 一、实验目的与要求 1、了解运算器的组成结构; 2、掌握算术逻辑运算器的工作原理; 3、掌握简单运算器的数据传送通道 4、掌握用Verilog HDL实现简单运算器的设计 二、实验原理 74LS181的逻辑功能表 图中,S0到S3是四个控制端,用于选择进行何种运算。M用于控制ALU进行算术

运算还是逻辑运算。 当M=0时,M 对进位信号没有任何影响,Fi 值与操作数Ai ,Bi 以及地位向本位进位Cn+1有关,所以M=0时进行算术运算。操作数用补码表示,“加”只算术加,运算时考虑进位;“+”指逻辑加,不考虑进位;减法运算时,减法取反码运算后用加法器实现,结果输出为A 减B 减1在最末位产生一个强迫进位(加1),以得到A 减B 的结果。 当M=1时,封锁了各位的进位输出Cn+i=0,因此各位的运算结果Fi 仅与操作数Ai ,Bi 有关,此时进行逻辑运算。 三、实验内容与步骤 1.根据书85面的逻辑功能表编写Verilog HDL 语句,编译,仿真等步骤。 2.按照模式一电路图结构图设置对应的引脚参数。确认无误后,配置文件下载。 CLOCK9CLOCK5CLOCK2 CLOCK0 SPEAKER 扬声器 NO.1 PIO11-PIO8PIO15-PIO12PIO48 PIO49D15 D16HEX HEX PIO32 PIO33 PIO34 PIO35 PIO36 PIO37 PIO38 PIO39 D1D2D3D4D5D6D7D8实验电路结构图 译码器 译码器 译码器 译码器 FPGA/CPLD 目标芯片1 2 3 4 5 6 7 8 PIO3-PIO0 PIO7-PIO4HEX HEX 键1 键2 键3 键4 键5 键6 键7 键8 PIO39-PIO32PIO31-PIO28 PIO27-PIO24PIO23-PIO20PIO19-PIO16 3.连接试验箱,开通电源,进行验证。 五、实验环境与设备 实验软件:Quartus ii 软件 实验设备:实验室试验箱 六、实验代码设计(含符号说明) module NS74181(A,B,F,S,CN,CO,M); //端口名 input[3:0] A,B; input[3:0] S; input M,CN; output CO; output[3:0] F; reg[3:0] F; reg[3:0] ta,tb; //用ta,tb 代替~A 和~B reg CO; //进位 always @(S) begin ta=~A; tb=~B; case(S) 'b0000: begin

实验三---译码器及其应用实验报告

实验三译码器及其应用 一、实验目的 (1) 掌握中规模集成译码器的逻辑功能和使用方法; (2) 熟悉掌握集成译码器的应用; (3) 掌握集成译码器的扩展方法。 二、实验设备 数字电路实验箱,电脑一台,74LS20,74LS138。 三、实验内容 (1)利用3-8译码器74LS138和与非门74LS20实现函数: 四输入与非门74LS20的管脚图如下: 对函数表达式进行化简: 按Figure 1所示的电路连接。并用Multisim进行仿真,将结果对比。

Figure 1 (2) 用两片74LS138组成4-16线译码器。 因为要用两片3-8实现4-16译码器,输出端子数目刚好够用。 导线测试,在各端子上移动即可。在multisim中仿真电路连接如Figure 2所示(实 验台上的电路没有接下面的两个8灯LED): Figure 2 四、实验结果 (1) 利用3-8译码器74LS138和与非门74LS20实现函数。

输入,由可知,小灯应该亮。测试结果如Figure 1所示。输入,分析知小灯应该灭,测试结果如Figure 2所示。输入 ,分析知小灯应该亮,测试结果如Figure 3所示。 Figure 4 Figure 5

Figure 6 同理测试,得到结果列为下面的真值表: A B C Y 0 0 0 1 0 0 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 1 0 1 1 0 0 1 1 1 1 与所要实现的逻辑功能相一致。 (2) 用两片74LS138组成4-16线译码器。 进行测试,得到的结果列为真值表如下: G1 A B C 1 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 0 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 0 0 0 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1

六年级科学下册实验报告单

实验报告单

实验通知单 课题 第一单元微小世界 1.放大镜 实验名称 放大镜的构造、作用、用途 实验班级 六年级 实验类别 B 实验组数 10 实验时间 任课教师 实验 准备 分组实验器材:放大镜(最好每个学生都能有一个放大镜,如果只能提供给学生一种放大镜,尽量放大倍数大一点)科学书或报纸上的照片、计算机或电视机屏幕。柱形、球形的透明器皿、塑料薄膜、铁丝、普通玻璃片、平面镜片、水。 教师演示:不同放大倍数的放大镜、图片或课件(如放大镜镜片的结构等)。 规范操作要点 1.正确用放大镜观察物体。 2.比较用肉眼观察和用放大镜观察的不同。 备注 放大镜的作用——放大物体的像(可能学生会说“把物体放大”,提醒学生物体并未变大) 放大镜的用途——我们用放大镜观察校园里的生物、实验中在老师指导下观察花、昆虫等。它是视力不佳者的助视器,还适用于电子产品检验、线路板检验、集邮者欣赏鉴定邮票、

珠宝商鉴定珠宝、公安人员用它观察指纹毛发纤维等、农技人员用它观察花蕊进行人工授粉等、制作微型工艺品的工匠工作时使用… 实验通知单 课题 2.放大镜下的昆虫世界 实验名称 实验班级 六年级 实验类别 B 实验组数 10 实验时间 任课教师 实验 准备 分组实验器材:昆虫或昆虫器官标本、放大镜 教师演示器材:有关昆虫形态构造和生活习性的多媒体课件或图片资料 规范操作要点 提供给学生各种昆虫的标本或昆虫肢体的标本。(因这个寒假的冻灾,估计开学时不会有太多的昆虫,可以利用仪器室原有的标本和蚊蝇蟑螂等常见昆虫及其肢体为观察对象。估计肉眼观察学生的兴趣不会太浓,而且因观察对象小,肉眼的发现可能不会很多。可能的

传感器与检测技术实验报告

“传感器与检测技术”实验报告 学号: 913110200229 姓名:杨薛磊 序号: 83

实验一电阻应变式传感器实验 (一)应变片单臂电桥性能实验 一、实验目的:了解电阻应变片的工作原理与应用并掌握应变片测量电路。 二、基本原理:电阻应变式传感器是在弹性元件上通过特定工艺粘贴电阻应变片来组成。一种利用电阻材料的应变效应将工程结构件的内部变形转换为电阻变化的传感器。此类传感器主要是通过一定的机械装置将被测量转化成弹性元件的变形,然后由电阻应变片将弹性元件的变形转换成电阻的变化,再通过测量电路将电阻的变化转换成电压或电流变化信号输出。它可用于能转化成变形的各种非电物理量的检测,如力、压力、加速度、力矩、重量等,在机械加工、计量、建筑测量等行业应用十分广泛。 三、需用器件与单元:主机箱中的±2V~±10V(步进可调)直流稳压电源、±15V直流 1位数显万用表(自备)。 稳压电源、电压表;应变式传感器实验模板、托盘、砝码; 4 2 四、实验步骤: 应变传感器实验模板说明:应变传感器实验模板由应变式双孔悬臂梁载荷传感器(称重传感器)、加热器+5V电源输入口、多芯插头、应变片测量电路、差动放大器组成。实验模板中的R1(传感器的左下)、R2(传感器的右下)、R3(传感器的右上)、R4(传感器的左上)为称重传感器上的应变片输出口;没有文字标记的5个电阻符号是空的无实体,其中4个电阻符号组成电桥模型是为电路初学者组成电桥接线方便而设;R5、R6、R7是350Ω固定电阻,是为应变片组成单臂电桥、双臂电桥(半桥)而设的其它桥臂电阻。加热器+5V是传感器上的加热器的电源输入口,做应变片温度影响实验时用。多芯插头是振动源的振动梁上的应变片输入口,做应变片测量振动实验时用。

PL 0 语言编译器分析实验报告

PL/0 语言编译器分析实验 一、实验目的 通过阅读与解析一个实际编译器(PL/0语言编译器)的源代码,加深对编译阶段(包括词法分析、语法分析、语义分析、中间代码生成等)和编译系统软件结构的理解,并达到提高学生学习兴趣的目的。 二、实验要求 (1)要求掌握基本的程序设计技巧(C语言)和阅读较大规模程序源代码的能力; (2)理解并掌握编译过程的逻辑阶段及各逻辑阶段的功能; (3)要求能把握整个系统(PL/0语言编译器)的体系结构,各功能模块的功能,各模块之间的接口; (4)要求能总结出实现编译过程各逻辑阶段功能采用的具体算法与技 三、实验报告 pl/0语言是pascal语言的一个子集,我们这里分析的pl/0的编译程序包括了对pl/0语言源程序进行分析处理、编译生成类pcode代码,并在虚拟机上解释运行生成的类pcode代码的功能。 pl/0语言编译程序采用以语法分析为核心、一遍扫描的编译方法。词法分析和代码生成作为独立的子程序供语法分析程序调用。语法分析的同时,提供了出错报告和出错恢复的功能。在源程序没有错误编译通过的情况下,调用类pcode 解释程序解释执行生成的类pcode代码。 词法分析子程序分析: 词法分析子程序名为getsym,功能是从源程序中读出一个单词符号(token),把它的信息放入全局变量sym、id和num中,语法分析器需要单词时,直接从这三个变量中获得。(注意!语法分析器每次用完这三个变量的值就立即调用getsym 子程序获取新的单词供下一次使用。而不是在需要新单词时才调用getsym过程。)getsym过程通过反复调用getch子过程从源程序过获取字符,并把它们拼成单词。getch过程中使用了行缓冲区技术以提高程序运行效率。 词法分析器的分析过程: 调用getsym时,它通过getch过程从源程序中获得一个字符。如果这个字符是字母,则继续获取字符或数字,最终可以拼成一个单词,查保留字表,如果查到为保留字,则把sym变量赋成相应的保留字类型值;如果没有查到,则这个单词应是一个用户自定义的标识符(可能是变量名、常量名或是过程的名字),把sym 置为ident,把这个单词存入id变量。查保留字表时使用了二分法查找以提高效率。如果getch获得的字符是数字,则继续用getch获取数字,并把它们拼成一个整数,然后把sym置为number,并把拼成的数值放入num变量。如果识别出其它合

数字电子线路实验报告_译码器及其应用

数电实验报告 实验三译码器及其应用 一、实验目的 1、掌握译码器的测试方法。 2、了解中规模集成译码器的功能,管脚分布,掌握其逻辑功能。 3、掌握用译码器构成组合电路的方法。 4、学习译码器的扩展。 二、实验仪器 1、数字逻辑电路实验板 1块 2、74HC138 3-8线译码器 2片 3、74HC20 双4输入与非门 1片 三、实验原理 1、中规模集成译码器74HC138 74HC138是集成3线-8线译码器,在数字系统中应用比较广泛。图3-1是其引脚排列。 其中 A2 、A1 、A0 为地址输入端, 0Y~ 7Y为译码输出端,S1、2S、3S为使能端。74HC138真值表如下: 74HC138引脚图为:

74HC138工作原理为:当S1=1,S2+S3=0时,电路完成译码功能,输出低电平有效。其中: 2、译码器应用 因为74HC138 三-八线译码器的输出包括了三变量数字信号的全部八种组合,每一个输 出端表示一个最小项,因此可以利用八条输出线组合构成三变量的任意组合电路。 四、实验内容 1、译码器74HC138 逻辑功能测试 (1)控制端功能测试 测试电路如图:

按上表所示条件输入开关状态。观察并记录译码器输出状态。 LED指示灯亮为0,灯不亮为1。 (2)逻辑功能测试 将译码器使能端S1、2S、3S及地址端A2、A1、A0 分别接至逻辑电平开关输出口,八个 输出端Y7 Y0依次连接在逻辑电平显示器的八个输入口上,拨动逻辑电平开关,按下表逐项测试74HC138的逻辑功能。 2、用74HC138实现逻辑函数 Y=AB+BC+CA 如果设A2=A,A1=B,A0=C,则函数Y的逻辑图如上所示。用74HC138和74HC20各一块在实验箱上连接下图线路。并将测试结果下面的记录表中。

传感器实验报告

实验一金属箔式应变片性能—单臂电桥 1、实验目的了解金属箔式应变片,单臂单桥的工作原理和工作情况。 2、实验方法在CSY-998传感器实验仪上验证应变片单臂单桥的工作原理 3、实验仪器CSY-998传感器实验仪 4、实验操作方法 所需单元及部件:直流稳压电源、电桥、差动放大器、双孔悬臂梁称重传感器、砝码、一片应变片、F/V表、主、副电源。 旋钮初始位置:直流稳压电源打倒±2V档,F/V表打到2V档,差动放大增益最大。 实验步骤: (1)了解所需单元、部件在实验仪上的所在位置,观察梁上的应变片,应变片为棕色衬底箔式结构小方薄片。上下二片梁的外表面各贴二片受力应变片。 (2)将差动放大器调零:用连线将差动放大器的正(+)、负(-)、地短接。将差动放大器的输出端与F/V表的输入插口Vi 相连;开启主、副电源;调节差动放大器的增益到最大位置,然后调整差动放大器的调零旋钮使F/V表显示为零,关闭主、副电源。 (3)根据图1接线R1、R2、R3为电桥单元的固定电阻。R4为应变片;将稳压电源的切换开关置±4V 档,F/V表置20V档。开启主、副电源,调节电桥平衡网络中的W1,使F/V表显示为零,等待数分钟后将F/V表置2V档,再调电桥W1(慢慢地调),使F/V表显示为零。 (4) 将测微头转动到10㎜刻度附近,安装到双平行梁的右端即自由端(与自由端磁钢吸合),调节测微头支柱的高度(梁的自由端跟随变化)使V/F表显示值最小,再旋动测微头,使V/F表显示为零(细调零),这时的测微头刻度为零位的相应刻度。 (5) 往下或往上旋动测微头,使梁的自由端产生位移记下V/F表显示的值,每旋动测微头一周即ΔX=0.5㎜,记一个数值填入下表: 0 0.5 1 1.5 2 2.5 3 3.5 4 位移 (mm) 51.0 63.3 75.5 88.2 102.3 113.7 127.3 139.9 155.1 电压 (mV) 压值的相应变化。 灵敏度:ΔV=155.1-51.0=104.1 ΔX=4-0=4 ΔS=ΔV/ΔX=104.1/4=26.025 (7)实验完毕,关闭主、副电源,所有旋钮转到初始位置。 注意事项: (1) 电桥上端虚线所示的四个电阻实际上并不存在,仅作为一标记,让学生组桥容易。 (2)如指示溢出,适当减小差动放大增益,此时差动放大器不必重调零。

相关文档
最新文档