EDA技术基础实验指导书ALL2012
EDA实验指导书(已改)

10-11学年第二学期《EDA实验指导书》(试用)学时:12班级:机电811、812指导教师:孙立香盐城纺织职业技术学院机电系电子教研室目录实验一 Protel 99 SE 启动、建库、文件环境参数设置 (3)实验二熟悉元器件库,编辑简单原理图 (5)实验三电路原理图设计 (6)实验四原理图元件制作 (9)实验五 PCB 设计基础 (11)实验六 PCB 图元件制作 (12)附加印制电路板设计 (14)实验一 Protel 99 SE 启动、建库、文件环境参数设置一、实验目的1 .熟悉 scH 99 的基本界面。
2 .学会文件环境参数设置。
二、实验内容1. 启动 Protel99SE ,建立名为 MYPRO 的文件夹,并在文件夹中建立名为MYFIRST 的设计数据库文件。
提示:单击桌面上的 Protel99SE 快捷图标,进入 Protel99SE 设计环境。
若环境中已经有设计数据库文件,就执行菜单 File/Close Design 将其关闭。
若环境中没有设计数据库文件,就执行菜单 File/New ,在弹出的窗口中,单击Browse 按钮,在弹出的文件名输入窗口中建立 MYPRO 的文件夹,并在文件夹中建立 MYFIRST 的设计文件。
2. 在练习 1 的基础上,建立名为 FIRSCH 的原理图文件,并进入原理图设计窗口。
提示:执行 File/New 菜单,在弹出的窗口中选择 Schematic Document 图标。
3. 设置原理图的图纸尺寸为 A0 ,去掉可视栅格,去掉标题栏。
提示:在原理图设计环境中,执行菜单 Design/Options ,在弹出的窗口中选择 Sheet Options 页面,在页面右上角的 Standard Styles 下拉框中选择A0 。
4. 把光标设置成大十字,并把光标移动到图纸边沿时的移动速度设置为 Auto Pan Recenter 。
提示:设置光标:在原理图设计环境中,执行菜单 Tools/Preferences ,在弹出的窗口中选择 Graphical Editing 页面,然后在该页面 Cursor/Grid Options 区域的 Cursor Type 下拉列表框中选择 Large Cursor 90 。
EDA 技术:EDA技术及应用实验指导书

EDA技术及应用实验指导书顾庆水序言《EDA技术及应用实验指导书》是与理论课程《EDA技术及应用》配套开出的,是电信专业的一门专业实验课程,对电信专业的学生具有非常重要的作用。
本实验课与理论课同时进行,与理论课有着较密切的联系。
因此同学们在做本实验之前必须具备以下的基础知识:1、数字电路的基础知识;2、电子计算机常用操作系统的使用方法;3、一定的英语基础;4、必须有一定的理论知识做基础,与理论课同时进行。
PLD(可编程逻辑器件)是与ISP(在系统可编程)技术和EDA(电子设计自动化)工具紧密结合、同时进行的。
它代表了数字电信领域的最高水平,给数字电路的设计带来了革命性的变化。
从70 年代第一片可编程逻辑器件PROM的诞生到现在的CPLD/FPGA,数字系统的设计发生了本质的变化。
从传统的对电路板的设计到现在的基于芯片的设计,使得数字系统设计的效率大大提高,产品更新速度大大加快,设计周期大大变短。
所以同学们学习本课程有着非常重要的意义。
本实验不同于其它实验,他的实验手段和实验方法都有了重大的变化,主要体现在以下几个方面:首先:实验方法不同本实验是在PC平台上,用原理图或文本进行输入,然后进行编译,通过之后再进行波形仿真,如有缺陷,再回过头去对源文件进行修改。
其流程图如下:其次:实验手段不同本实验是利用ISP技术、采用EDA工具、应用PLD 器件,在PC平台上进行的。
第三、本实验课的目的学生学习完本实验课后,应达到如下的要求:1、能熟练使用本实验的配套EDA软件Mux+plusⅡ;2、掌握PLD 芯片的基本使用方法,能用现代数字系统的设计方法进行基本的数字系统设计;3、掌握图形编辑和VHDL文本编辑两种设计方法,重点是VHDL文本编辑;4、具备基本的开发能力,为后续学习打下坚实的基础。
实验规则为了维护正常的实验教学次序,提高实验课的教学质量,顺利的完成各项实验任务,确保人身、设备安全,特制定如下实验规则:一、实验前必须充分预习,完成指定的预习内容,并写出预习报告,预习要求如下:1、认真阅读本实验指导书,分析掌握本次实验的基本原理;2、完成各实验预习要求中指定的内容;3、熟悉实验任务。
中职-EDA实训指导书新1

精品《EDA》实训指导书班级:________________ 姓名:________________ 时间:一周地点:机房实训室指导老师:_____________ 《EDA 》实习教学大纲实习名称实习周数实习学期适用专业:《EDA 》:一周:第四学期:电子专业、机电专业先修课程:《数字电路》、《模拟电路》、《protel99se教程》一、实训性质、目的和任务本次实训是中等专业学校工科电子类专业的一个重要教学环节,其任务是使学生正确掌握电子、电路工程技术人员所必须具备的基本知识,常用电工仪器的使用法及常用电量的测量方法,学会电子电路图和电路仿真设计,培养学生的动手能力,理性思维和分析判断能力,解决实际问题的能力,同时巩固与加深理解《数字电路》、《模拟电路》、《protel99se 教程》课程中已学到的理论知识,培养学生理论知识培养学生理论联系实际,提高学生对本专业的兴趣和爱好。
二、实习教学的基本要求1、熟悉常见电子元器件的型号、规格、性能、电路符号2、分析电子电路工作原理及其性能3、设计电子电路4、设计印刷电路图5、掌握电子电路仿真方法6 、对电子电路仿真进行调试实验三、实训使用材料和所用的工具工具:计算机、protel99se 软件。
四、实习内容和时间分配实习内容:1、Protel 99 SE 使用基础1 )新建一个设计数据库,选择MS Access Database 保存类型,名称为Lx.Ddb ,并设置密码。
2 )关闭第1 题中新建的设计数据库文件LX.ddb 后,再打开。
3 )在一个设计数据库下,新建一个文件夹,并在该文件夹下,分别创建原理图和PCB 文件,所有名称均采用系统默认名。
4 )将上面新建的文件夹和两个文件分别更名为FDDL 、YLT.Sch 和DLB.PCB 。
5 )在工作窗口或文件管理器,练习打开和关闭文件夹或文件的操作。
6 )练习三种保存文件的操作,并比较它们之间的区别。
EDA实验指导书全

实验一Quartus II开发环境入门一、实验目的1、了解QuartusII软件及基本操作2、熟悉图形编辑器Block Builder/Schematic File的设计输入3、掌握电路的编译和适配4、掌握电路仿真与时序分析5、熟悉3/8线译码器工作原理和五人表决器设计二、实验原理1、以3/8线译码器为例,总体思路以EP1C3中的三个拨位开关,SW3,SW2,SW1为三个输入信号,可以代表8种不同的状态,该译码器对这8种状态译码,并把所译码的结果在七个彩灯上显示。
2、三、实验步骤主要仪器和设备:计算机,EDA实验箱。
步骤一:1、建立工程,设计输入。
选择菜单“File”→“New Preject Wizard”将设计文件加入工程中:点击下方的“Next” 按钮,在弹出的对话框中点击“File”栏的按钮,将此工程相关的所有VHDL文件加入进此工程(如果有的话)。
Cyclone系列的EP1C3T144C8按下“Next”后,出现仿真工具选择对话框。
不作任何选择。
4、完成设置点击“Next”后,完成工程的设定,点击“finish”。
步骤二:1、选择File/New或点击主菜单中的空白图标,进入新建程序文件状态,选择VHDL file 。
VHDL程序文件的扩展名是:* .vhd程序代码:-- A simple 3 to 8 decoderlibrary ieee;use ieee.std_logic_1164.all;entity decoder isport ( inp: in std_logic_vector(2 downto 0);outp: out std_logic_vector(7 downto 0)); end decoder;architecture behave of decoder isbeginoutp(0) <= '1' when inp = "000" else '0';outp(1) <= '1' when inp = "001" else '0';outp(2) <= '1' when inp = "010" else '0';outp(3) <= '1' when inp = "011" else '0';outp(4) <= '1' when inp = "100" else '0';outp(5) <= '1' when inp = "101" else '0';outp(6) <= '1' when inp = "110" else '0';outp(7) <= '1' when inp = "111" else '0';end behave;步骤三:1、选择菜单“File”→“New ” →“ Schematic File”,即弹出原理图编辑框。
EDA实验指导书新新印刷

EDA实验指导书新新印刷淮阴工学院EDA技术实验指导书编者: 叶小婷电子与电气工程学院 6月7日目录实验一基于QUARTUSII 图形输入电路的设计 (1)实验二基于VHDL 格雷码编码器的设计 (16)实验三含异步清零和同步使能的加法计数器 (18)实验四八位七段数码管动态显示电路的设计 (20)实验五数控分频器的设计 (22)实验六图形和VHDL 混合输入的电路设计 (23)实验七四位并行乘法器的设计 (26)实验八基本触发器的设计 (28)实验九四位全加器设计 (30)实验十矩阵键盘显示电路的设计 (32)实验十一用VHDL 设计七人表决器 (35)实验十二用VHDL 设计四人抢答器 (37)实验九熟悉PROTEL99环境 (39)实验十原理图设计 (42)实验十一元件制作与网络表操作 (44)实验十二印刷电路板设计 (47)附录一实验箱常见管脚分配表 (49)附录二参考程序 (51)实验一基于QUARTUSII 图形输入电路的设计一、实验目的1.经过一个简单的3—8译码器的设计, 掌握组合逻辑电路的设计方法。
2.初步了解QUARTUSII 原理图输入设计的全过程。
3.掌握组合逻辑电路的静态测试方法。
二、实验设备1.PC机一台;2.Altera Blaster下载器一根;3.THGSC-3型实验箱一台。
三、实验原理3-8译码器三输入, 八输出。
当输入信号按二进制方式的表示值为N时, 输出端标号为N 的输出端输出高电平表示有信号产生, 而其它则为低电平表示无信号产生。
因为三个输入端能产生的组合状态有八种, 因此输出端在每种组合中仅有一位为高电平的情况下, 能表示所有的输入组合。
译码器不需要像编码器那样用一个输出端指示输出是否有效。
但能够在输入中加入一个输出使能端, 用来指示是否将当前的输入进行有效的译码, 当使能端指示输入信号无效或不用对当前信号进行译码时, 输出端全为高电平, 表示无任何信号。
《EDA技术》实验指导2

修改后的器件符号如图 2-18 所示。
图 2-17
30
图 2-18 如果发现错误比较多,可重新把 New Part Creation Spreadsheet 对话框调出进行重新编辑 处理,方法是在图 2-19 中选中 CS5381 右键选择 Split Part…打开如图 2-20 所示的 Split Part Section Input Spreadsheet 对话框,对有关项进行修改,如 VD、VQ、VL、VA 查 CS5831 文 档材料知其均为电源输入端,是有源引脚,故 Type 应全部为 Power。
23
图 2-4 在图 2-4 所示的对话框中,在 Name(元件名称)文本框中输入“DG419AK”,在 Part Reference Prefix(元件编号的关键字母)文本框中输入“U”,在 PCB Footprint(PCB 元件封装类型)文本框中输入“DIP8”,将 Parts per Pkg 栏设置为“1”,表明一个封装内 只有一个元件。其它项默认,参数设置完毕后,单击 OK 按钮,关闭对话框,进入元件编辑 页面,如图 2-5 所示。
实验二 电路原理图元件的绘制
OrCAD Capture 系统尽管具有庞大的元件库,但随着新型元器件的不断涌现,在进行电 路原理图设计时,经常会用到一些 OrCAD Capture 中没有提供的元件符号。这就需要设计 者自己来绘制新元件,OrCAD Capture 提供了一个功能强大的创建原理图元件的工具,即原 理图元件库编辑器。
图 2-15 可以不管它,点击 Continue 后,在绘图界面上出现本器件的电路符号如图 2-16 所示
29
图 2-16
比较题图 2-1 和图 2-16,可找出是否有不一致的地方,如第 12 引脚名应该为 I2S\JS ,
EDA实验指导书_实验指导06_EDA_硬件综合实验
“电子设计自动化(EDA)”实验指导书(六)一、实验课程编码:105009二、实验课程名称:电子设计自动化(EDA)三、实验项目名称:综合硬件实验二(带时分秒按键调整和静态数码管显示的数字钟)四、实验目的1)学会看硬件原理图,2)掌握FPGA硬件开发的基本技能3)培养EDA综合分析、综合设计的能力五、主要设备1)PC机,2)硬件实验箱,3)Max plusII软件开发平台。
六、实验内容1.6个数码管静态显示驱动2.按键模式选择(时\分\秒)与调整控制3.用硬件描述语言(或混合原理图)设计时、分、秒计数器模块、按键控制状态机模块、显示译码模块、顶层模块。
要求使用实验箱右下角的6个静态数码管(DS8C, DS7C, DS4B, DS3B, DS2B, DS1B)显示时、分、秒;要求模式按键和调整按键信号都取自经过防抖处理后的按键跳线插孔。
七、实验步骤1.打开Max plusII ,连接实验箱上的相关硬件资源,如下图1所示。
2.建立新文件,选择文本类型或原理图类型。
3.编写程序。
4.编译5.仿真,加载程序到芯片,观察硬件输出结果(数码管显示)6.结果正确则完成。
若结果不正确,则修改程序,再编译,直到正确。
图1 综合硬件实验二对应的硬件资源连接八、实验结果直接观察实验箱的数码管显示、操作按键进行控制和调整。
数字钟包括正常的时分秒计时,DS2B DS1B.125 H z.25 H z.5 H zH zH zH zH z4 H z56 H z024 H z096 H z6384 H z2768 H z5536 H z50 K H z.5 M H zM H zM H z2 M H z4 M H zHour 00-23 Minute 00-59Second 00-59实验箱右下角的6个静态数码管(DS8C, DS7C, DS4B, DS3B, DS2B, DS1B)显示时、分、秒。
adjust 递增调整时分秒,mode按键循环调整模式。
EDA实训指导书
EDA实训指导书电子设计自动化技术实训 CSH 1CSH电子设计自动化技术实训 CSH 2前言电子设计自动化技术实训 CSH 3EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。
EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。
EDA技术的出现,极大地提高了电路设计的效率和可靠性,减轻了设计者的劳动强度。
VHDL是一门非常重要的、是目前标准化程度最高的硬件描述语言。
它在1987年就被IEEE采纳为IEEE#1076标准。
用其书写的源文件既是程序又是文档,既是工程技术员之间交换信息的文件,以可作为合同签约者之间的文件。
在《电子设计自动化技术》课程的理论学习中,我们已仔细地介绍了基本数字电路的VHDL描述,为进一步掌握VHDL的综合应用,通过专门实训周以加强实践操作能力。
本次实训现提供以上几个数字系统综合课题供大家进行分析练习修改与矿。
为了提高分析实践效果。
在此只提供简单的课题框图与注释作为提示。
同时将VHDL源代码给出以便对照调试之用。
电子设计自动化技术实训 CSH 4课题1 带数字显示的秒表一、设计任务及要求1、设计一块用数码管显示的秒表。
2、能够准确的计时并显示。
3、开机显示。
4、用户可随时清零、暂停、计时。
5、最大计时59分钟,最小精确到秒。
二、可选器件EPM130208-2、共阴极七段数码管、发光二极管、按键开关、电阻、电容。
三、设计总体框图数字显示器的秒表总体框图如图1所示。
时钟输入分频电路时分复用译码显示计时模块图1带数字显示的秒表总体框图四、源程序library ieee;use _logic_; use _logic_; use _logic_; entity watchisport (sel:out std_logic_vector(6downto1); ——信号。
EDA技术实验指导书(印刷版)
EDA技术实验指导书长沙学院电子与通信工程系2007年8月目录实验一简单逻辑电路实验 (1)实验二2选1多路选择器 (4)实验三D触发器设计 (5)实验四1位二进制全加器设计 (6)实验五4位加法计数器 (9)实验六7段数码显示译码器设计 (10)实验七带有复位和时钟使能的十进制计数器 (12)实验八带有并行置位的移位寄存器 (14)实验九较复杂电路的原理图设计 (16)实验十数控分频器的设计 (18)实验十一8位十六进制频率计设计 (20)实验十二基于LPM_COUNTER的数控分频器设计 (23)实验十五正弦信号发生器设计与LPM定制 (31)附录 (33)实验一简单逻辑电路实验一、实验目的1.学习Create-SOPC实验平台的使用方法;2.熟悉Quartus II 软件平台和使用VHDL 语言设计电路的方法;3.学习简单逻辑电路的设计、仿真和硬件测试。
二、实验内容运用Quartus II 集成环境下的VHDL文本设计方法设计半加器,进行波形仿真、引脚分配并下载到实验设备上进行逻辑功能验证。
三、实验步骤及参考程序1.创建个人实验文件夹(最好使用英文字母命名不要用中文或数字名称)如:D:\example2.运行Quartus Ⅱ软件。
3.创建一个VHDL设计文件:File -> New,在Device Design Files 中选择VHDL File。
在程序编辑窗输入VHDL程序并保存;在弹出对话框中输入文件名(必须和程序中实体名相同)并使下方小框内出现“√”,点击保存会弹出“是否创建新工程”提示信息如图1-1所示。
图1-1 图1-24.创建一个新工程:点击图1-1中“是”可进入创建工程向导(也可以File -> New project Wizard进入向导),此时看到的默认工程名、顶层实体名都为h_adder(其中工程名可换名但顶层实体名必与编写的程序实体名一致),如图1-2。
EDA实习指导书
EDA/PLD实习指导书辽宁科技大学电信学院目录实验一 熟悉Quartus Ⅱ的使用 (1)实验二 138译码器设计 (5)实验三 由component实现4-16译码器 (8)实验四 由symbol实现4-16译码器 (11)实习 FPGA串行通用异步收发器设计 (13)EP1k30TC144引脚说明 (34)实验箱管脚分配表 (39)报告要求 (41)实验一 熟悉Quartus Ⅱ的使用一、目的1、利用Quartus Ⅱ自带的一个加减法设计熟悉Quartus Ⅱ的使用方法;2、熟练掌握VHDL 语言的输入、编译、时序分析、时序约束调试方法。
二、内容更详细的说明可以参考Quartus Ⅱ中help PDF tutorials 中的PDF tutorial for VHDL user 使用说明。
一个16位加减法器的框图如图1所示。
这电路可以完成n 位2进制数的加减运算(该例程中假定n 等于16)。
其中021a a a A n n "−−=,021b b b B n n "−−=为输入,021z z z Z n n "−−=为输出,具体的功能如下:1、启动Quartus Ⅱ软件,新建一个工程文件,具体方法是依次选择file > new project wizard ,在弹出的新建项目窗体中,指定工作目录、项目名和顶层实体名(在这里指定为addersubtractor ),然后点击next 。
2、由于在这里不需要增加已经设计好的文件,因此在新出现的增加设计文件窗口中直接选择next 。
3、在新出现的选择器件体中,选择器件为Stratix Ⅲ中的EP3SZ50F484C2,点击next 。
4、由于我们仅使用Quartus 作为调试仿真工具,因此在接下来的选择调试工具窗体中,直接点next 。
5、新建一个vhdl 语言文件,并输入如下程序,并保存。
注意保存的文件名应该与前面定义的顶层实体名一致(该文件可以在C:\altera\81\qdesigns\vhdl_verilog_tutorial 文件夹中找到)。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
EDA实验指导书 1 EDA技术基础实验指导书
海南大学信息学院编 EDA实验指导书
2 目 录 实验一 MAX –plusII及开发系统使用 1 实验二 高速四位乘法器设计 7 实验三 含异步清0和同步时钟使能的十进制加减法法计数器 11 实验四 秒表的设计 9 实验五 序列检测器的设计 12 实验六 数字频率计的设计 14 课外综合设计实验 数字密码锁 17 交通灯控制器 18 EDA实验指导书
3 实验一 MAX –plusII及开发系统使用 一、实验目的 1、 熟悉利用MAX-plusⅡ的原理图输入方法设计简单的组合电路 2、 掌握层次化设计的方法 3、 熟悉DXT-BⅢ型EDA试验开发系统的使用 二、主要实验设备 PC 机一台(中档以上配置),DXT-B3 EDA实验系统一台。 三、实验原理 数字系统设计系列实验是建立在数字电路基础上的一个更高层次的设计性实验。它是借助可编程逻辑器件(PLD),采用在系统可编程技术(ISP),利用电子设计自动化软件(EDA),在计算机(PC)平台上进行的。 因为本实验是在计算机平台上进行,因此实验方式,实验手段和实验仪器与传统的实验有很大的区别,主要体现在以下几个方面: 1、 实验器材集中化,所有实验基本上在一套实验设备上进行。 传统的实验每作完一个实验,实验器材基本上都要变动(个别除外)。而做本实验时,只要在计算机上把不同的程序输进去,其它步骤所有实验都一致; 2、 实验耗材极小(基本上没有耗材); 3、 在计算机上进行,自动化程度高,人机交互性好,修改、验证实验简单; 4、 下载后,实验结果清晰; 5、 实验仪器损耗少,维护简单; 下面,我们就本套实验设备做一个简单的介绍。 (一)Max+plusⅡ10.0的使用。 1、Max+PlusII软件的安装步骤: EDA实验指导书 4 第一步:系统要求 奔3CPU以上,128M内存以上,4G 以上硬盘,98 操作系统(98或Me操作系统才可以下载,其他操作系统下载必须安装驱动,否则只能仿真,如果大家只进行仿真的话,对系统没要求) 第二步:安装 点击安装可执行文件进行安装,安装完毕后会弹出一对话框,点击是或否都可以。 第三步:将安装文件夹中的License 文件夹打开,里面有一个License.bat 注册文件,将此文件复制到你的安装目录下(你的安装目录可放在任一个驱动器下,然后建立一个Max10的文件夹,将系统安装在此文件夹中,安装后此文件夹中会有三个文件夹)的任一个文件夹中,要清楚位置。 第四步:注册 启动Max+PlusII 软件,可以从开始-->程序-->Altera-->Max+PlusII 打开,也可以建立一个快捷方式在桌面上。启动软件后,会有弹出一个对话框,点击是或否都可以,然后进入系统。点击菜单中的Options,然后选中License菜单项,打开弹出一个注册对话框,在注册文件路径中打开你第三步中复制位置的License 文件,然后点击OK,注册完毕。 2、 max+plusⅡ软件基本设计流程 EDA实验指导书
5 注意:实验时必须严格按照上述流程进行,如实验中遇到问题,举手向老师提出,严禁随意乱做!! (二)全加器设计 1位全加器可以由两个半加器和一个或门构成,如图1.2所示。
图1.2 全加器电路原理图 1位半加器可以由与、或、非等基本门构成,如图1.3所示。 EDA实验指导书 6 图1.3 半加器电路原理图 根据实验原理中,采用层次法设计一个4位全加器。
四、实验步骤 1,创建两输入或门的vhdl源文件 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY ORM2 IS PORT(A,B: IN STD_LOGIC; C:OUT STD_LOGIC); END ORM2; ARCHITECTURE AaRT1 OF ORM2 IS BEGIN C<=A OR B; END aart1; 创建元件图形符号 EDA实验指导书
7 2,创建半加器的vhdl源文件 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY H_ADDER IS PORT(A,B: IN STD_LOGIC; CO,SO:OUT STD_LOGIC); END H_ADDER; ARCHITECTURE ART2 OF H_ADDER IS BEGIN SO<=(A OR B) AND (A NAND B); Co<=NOT(A NAND B); END ART2; 穿件元件图形符号 EDA实验指导书
8 3.创建全加器的源文件 F_ADDER.GDF 是全加器设计中最顶层的图形设计文件,调用了前面两步创建的两个功能元件
仿真波形如下:
4、选择器件“Assign”|“Device”|“MAX7000S”|“EPM7128SLC84-6”,并根据下载板上的标识对管脚进行配置。然后下载,进行硬件测试,检验结果是否正确。 五、实验报告要求: 详细描述4位全加器的设计过程,给出各层的电路原理图、元件图(原理图)以及对应的仿真波形;给出加法器的延时情况;最后给出硬件测试的流程和结果。 思考题:为了提高加法器的速度,如何改进以上设计的进位方式? EDA实验指导书 9 附录:实验箱部分说明 1、按键K1~K16及指示灯 主板左下方有16个按键K1~K16,分两排排放,上面配有16个二极管,此二极管即可作按键输入指示,也可做输出用。按键、发光二极管与下载板上CPLD/FPGA的一个I/O口通过控制芯片8间接对应相连,上、下按键各为一组,既可作电平输入也可作脉冲输入(均已经过消抖),通过主板右上角跳线来改变。下载板上只标出了对应开关的信号名Ki。 当与I/O口相对应的开关Ki作为电平/脉冲输入使用时,将跳线帽电平/脉冲A(电平/脉冲B)插上/拔下即可(其中上排A、下排B按键各为一组)。表示按键向该I/O口输入一个逻辑量脉冲信号或高/低电平。 当把K1~K16对应的I/O口定义为输出使用时,应把电平/脉冲A(电平/脉冲B) 处跳线帽拔下,指示/按键处跳线帽插上,这时按键上方对应的发光二极管可作为输出使用。 2、发光二极管L1~L12 在主板的上方有12个发光二极管L1~L12(其中L1和L12为三色),它们分别与下载板上的标识符的I/O口相连。注:L1R输出表示红灯;L1G输出表示绿灯;L1R、L1G同时输出表示黄灯。其中L12与L1输出情况相同。红、黄、绿灯可以用于做交通灯实验。 3、静态显示数码管M1~M4 主板的上侧配有8位数码管M1-M8,当跳线帽静态/动态插上,表示静态显示(四位);拔下为动态输出显示(八位)。每只数码管通过控制芯片的I/O口与下载板四个I/O口相连。下载板四个I/O口输出BCD码,通过译码驱动数码管。下载板与主板连接信号名M1D为PLD输出BCD码高位,M1A为BCD码低位。其他信号类推。 EDA实验指导书
10 实验三 含异步清0和同步时钟使能的十进制 计数器 一、实验目的: 学习时序电路的设计、仿真和硬件测试,进一步熟悉VHDL设计技术。
图1 含计数使能、异步复位和计数值并行预置功能4位加法计数器 2、实验原理:实验图1是一含计数使能、异步复位和计数值并行预置功能4位加法计数器,例1是其VHDL描述。由实验图1所示,图中间是4位锁存器;rst是异步清信号,高电平有效;clk是锁存信号;D[3..0]是4位数据输入端。当ENA为'1'时,多路选择器将加1器的输出值加载于锁存器的数据端;当ENA为'0'时将"0000"加载于锁存器。 3、实验内容1:在MAX+plusII上参照例1进行设计、编辑、编译、综合、适配、仿真。说明例中各语句的作用,详细描述示例的功能特点,给出其所有信号的时序仿真波形。 【例1】 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CNT4B IS EDA实验指导书 11 PORT (CLK : IN STD_LOGIC; RST : IN STD_LOGIC; ENA : IN STD_LOGIC; OUTY : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); COUT : OUT STD_LOGIC ); END CNT4B; ARCHITECTURE behav OF CNT4B IS SIGNAL CQI : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN P_REG: PROCESS(CLK, RST, ENA) BEGIN IF RST = '1' THEN CQI <= "0000"; ELSIF CLK'EVENT AND CLK = '1' THEN IF ENA = '1' THEN CQI <= CQI + 1; END IF; END IF; OUTY <= CQI ; END PROCESS P_REG ; --进位输出 COUT<=CQI(0) AND CQI(1) AND CQI(2) AND CQI(3); END behav;
仿真波形如下
4、实验内容2:引脚锁定以及硬件下载测试。 引脚锁定后进行编译、下载和硬件测试实验。将实验过程和实验结果写进实验报告。 6、思考题1:在例1中是否可以不定义信号 CQI,而直接用输出端口信号完成加法运算,即 : OUTY <= OUTY + 1 ? 7、思考题2:修改例1,用进程语句和IF语句实现进位信号的检出。 8、将例1中的语句“COUT<=CQI(0) AND CQI(1) AND CQI(2) AND CQI(3)”逻辑用进程和IF语句来表达。 9、实验报告:实验项目原理、设计过程、编译仿真波形和分析结果,附加内容实验情况,以及它们的硬件测试实验结果写进实验报告。