EDA脉冲宽度测量仪实验报告讲解

合集下载

综合的实验脉宽测量

综合的实验脉宽测量

综合实验1 简易脉宽测量电路脉宽测量是用来测量一个矩形波的高电平持续时间进而可以实现信号的占空比测量。

一.实验目的1. 培养学生综合运用知识的能力。

2. 培养学生独立思考,设计电路的能力。

3. .培养学生分析问题、解决问题的能力。

4. .培养学生接线、调试电路的实践能力。

二.设计指标要求用常用数字电路IC设计一个脉宽测量,主要技术指标如下:1.能显示二位计数值,时间单位为毫秒。

2.被测量脉冲的频率范围100Hz-10kHz,时间标准信号由信号发生器或实验箱提供。

3.能测量信号的高低电平宽度和周期,实现占空比测量。

三.设计框图时间标准信号由信号发生器或实验箱提供,用以产生计时时间。

通过计数器,译码器和显示器显示出被测脉冲的宽度。

被测量信号Fx为周期性矩形脉冲。

在测量控制信号启动后,控制器使控制门只能让被测信号Fx的第一个正脉冲通过,从而测出脉冲宽度Tw的时间,因此,控制器应由触发器和门电路组成。

总体框图如下:四.实验方法1.教师提供设计框图和元件清单,学生自己查阅资料设计电路。

2.学生在实验箱上连线、调试电路、得出结果。

五.实验预习要求1.依据设计框图查阅资料,设计脉宽测量电路,给出逻辑图。

2.复习有关数据选择器、触发器、计数器的单元实验内容。

3.查资料,熟悉设计所用各集成块的逻辑功能,给出引脚图。

六.实验报告1.设计内容及要求2比较和选写设计的系统方案,画出系统框图3.单元电路设计、参数计算和器件选择4.画出完整的电路图, 并说明电路的工作原理5.组装调试的内容。

括:1)调试电路的方法和技巧2)测试的数据和波形并与计算结果比较分析3)调试中出现的故障、原因及排除方法。

6总结设计电路的特点和方案的优缺点,指出课题的核心及实用价值,提出改进意见和展望。

7列出系统所用的元器件清单。

8收获、体会。

七.注意事项1.调整线路前,必须先关掉电源2. 译码显示电路可用实验箱上的6位十六进制七段译码器与LED数码显示器。

EDA技术及应用实验报告三

EDA技术及应用实验报告三

EDA技术及应用实验报告实验三 PWM信号发生器的设计小组成员张志翔潘伟伟学生姓名张志翔班级电子信息工程1203班学号12401720522指导教师实验三:PWM信号发生器1.实验目的(1)学习Quartus II 8.0 软件的基本使用方法。

(2)学习GW48-CK EDA实验开发系统的基本使用方法。

(3)学习VHDL程序中数据对象,数据类型,顺序语句和并行语句的综合使用2.实验内容设计并调试好一个脉宽数控调制信号发生器,此信号发生器是由两个完全相同的可自加载加法计数器LCNT8组成的,它的信号的高低电平脉宽可分别由两组8位预置数进行控制。

3.实验条件(1)开发软件:Quartus II 8.0。

(2)实验设备:GW48-CK EDA实验开发系统。

(3)拟用芯片:EP3C55U484174.实验设计1)设计思路及原理图PWM即脉冲宽度调制,就是利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术。

PWM从处理器到被控制系统信号都是数字式的,无需进行数/模转换。

让信号保持为数字形式可将噪声影响降到最小,因此广泛应用在测量、通信和功率控制与变换的许多领域中。

下图是一种PWM信号发生器的逻辑图,此信号发生器是由两个完全相同的可自加载加法计数器LCNT8组成的,它的输出信号的高、低电平脉宽可分别由两组8位预置数进行控制。

脉冲数控调制信号发生器逻辑图如果将初始值可预置的加法计数器的溢出信号作为本计数器的初始预置值加载信号LD,则可构成计数器初始值自加载方式的加法计数器,从而构成数控分频器。

图中D触发器的一个重要功能就是均匀输出信号的占空比,提高驱动能力,这对驱动,诸如扬声器或电动机十分重要。

2)VHDL源程序8位口自动加载加法器的源程序LCNT8.VHDLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY LCNT8 ISPORT(CLK,LD:IN STD_LOGIC;D:IN INTEGER RANGE 0 TO 255;CAO:OUT STD_LOGIC);END ENTITY LCNT8;ARCHITECTURE ART OF LCNT8 ISSIGNAL COUNT:INTEGER RANGE 0 TO 255;BEGINPROCESS(CLK) ISBEGINIF CLK'EVENT AND CLK='1' THENIF LD='1'THEN COUNT<=D;ELSE COUNT<=COUNT+1;END IF;END IF;END PROCESS;PROCESS(COUNT) ISBEGINIF COUNT=255 THEN CAO<='1';ELSE CAO<='0';END IF;END PROCESS;END ARCHITECTURE ART;PWM信号发生器的源程PWM.VHD--PWM.VHDLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY PWM ISPORT(CLK:IN STD_LOGIC;A:IN STD_LOGIC_VECTOR(7 DOWNTO 0);B:IN STD_LOGIC_VECTOR(7 DOWNTO 0);PWM:OUT STD_LOGIC);END ENTITY PWM;ARCHITECTURE ART OF PWM ISCOMPONENT LCNT8 ISPORT(CLK,LD:IN STD_LOGIC;D:IN STD_LOGIC_VECTOR(7 DOWNTO 0);CAO:OUT STD_LOGIC);END COMPONENT LCNT8;SIGNAL LD1,LD2:STD_LOGIC;SIGNAL CAO1,CAO2:STD_LOGIC;SIGNAL SPWM:STD_LOGIC;BEGINU1:LCNT8 PORT MAP(CLK=>CLK,LD=>LD1,D=>A,CAO=>CAO1); U2:LCNT8 PORT MAP(CLK=>CLK,LD=>LD2,D=>B,CAO=>CAO2); PROCESS(CAO1,CAO2) ISBEGINIF CAO1='1'THEN SPWM<='0';ELSIF CAO2'EVENT AND CAO2='1' THEN SPWM<='1';END IF;END PROCESS;LD1<=NOT SPWM;LD2<=SPWM;PWM<=SPWM;END ARCHITECTURE ART;3)仿真结果验证PWM时序仿真结果4)逻辑综合分析PWM的RTL视图5)硬件逻辑验证使用GW48-CK EDA实验开发系统进行硬件逻辑验证。

E题脉冲信参数测量仪报告修订稿

E题脉冲信参数测量仪报告修订稿

E题脉冲信参数测量仪报告公司标准化编码 [QQX96QT-XQQB89Q8-NQQJ6Q8-MQM9N]脉冲信号参数测量仪摘要:本设计选用 FPGA 作为数据处理与系统控制的核心,采用FPGA 与单片机相结合的方式制备出可测量脉冲信号频率、占空比、幅度、上升时间的测量仪以及标准脉冲信号发生器。

本设计由以下功能模块构成:前端信号处理模块、峰值检波模块、窗口比较器模块、幅值升压模块等。

利用FPGA 的强大处理能力,完成数字信号处理,并将处理后的信号送至单片机进行显示,设计中综合运用了电容去耦、滤波以及同轴电缆等抗干扰措施,减少了电路干扰。

在FPGA 内有等精度测频模块、占空比测量模块和上升时间测量模块、标准脉冲产生模块等。

显示与校准通过单片机完成。

关键词:峰值检波窗口比较器 脉冲参数测试仪 标准脉冲信号发生器一、系统方案1.方案论证与比较方案一:图1所示为中规模电路脉冲信号测量仪。

此方案采用中规模数字电路构成,主要由比较器、功能选择、量程选择、计数器和控制模块组成。

该方案电路复杂,频带过窄,功能不强,实现起来比较困难。

故不采用此方案。

图1小规模数字电路原理框图方案二:图2所示为纯单片机方案,该方案以单片机为核心。

门控信号由单片机内部计数定时器产生。

该方案成本低,但受单片机本身限制,其时序控制能力弱,处理速度慢,无法达到本次设计要求。

故不采用此方案。

图2 纯单片机方案原理框图方案三:图3所示为FPGA 与单片机相结合的方案。

此方案中,FPGA 构成主要测量模块,输入信号经过前端处理电路,得到5V 信号输入到FPGA 中。

单片机控制FPGA完成各种测量功能并显示测量数据。

该方案外围元件相对较少,对高速信号处理速度快,精度高,且控制灵活、可靠性高。

图3 FPGA与单片机结合方案原理框图综上所述,本设计拟采用方案三。

2.总体方案设计当进行频率测量时,脉冲信号进入前置分挡模块。

当信号较大时衰减,当信号较小时放大。

EDA实验报告正文

EDA实验报告正文

实验一单级放大电路的设计与仿真一、实验目的1.掌握放大电路静态工作点的调整与测试方法;2.掌握放大电路动态参数的测试方法;3.观察动态工作点的选择对输出波形及放大倍数的影响。

二、实验要求1.设计一个分压偏置的单管电压放大电路,要求信号源频率5kHz(峰值10mV) ,负载电阻5.1kΩ,电压增益大于50。

2.调节电路静态工作点(调节偏置电阻),观察电路出现饱和失真和截止失真的输出信号波形,并测试对应的静态工作点值。

3.调节电路静态工作点(调节偏置电阻),使电路输出信号不失真,并且幅度最大。

在此状态下测试:①电路静态工作点值;r、ce r值;②三极管的输入、输出特性曲线和 、be③电路的输入电阻、输出电阻和电压增益;f、H f值。

④电路的频率响应曲线和L三、实验步骤1.单级放大电路的原理图:2.a.电路的饱和失真:静态工作点参数:图表3 饱和失真时静态工作点参数数据分析:Ib=12.90259uA Ic=2.07754mA Uce=0.20717V此时电位器为0%,基极静态电流过大而导致三极管达到饱和,使得电路出现失真。

b.电路的截止失真:图表4 截止失真时输出电压波形图静态工作点参数:图表5 截止失真时静态工作点参数数据分析:Ib=3.87579uA Ic=834.27745uA Uce=6.07513V此时电位器为100%,使得基极电位减小,三极管截止导致电路出现失真。

c.电路的最大不失真输出:图表6 最大不失真时输出电压波形图静态工作点参数:图表7 最大不失真时输出时静态工作点参数数据分析:Ube=0.64415V IC=1.87602mA IB=9.05731uA Uce=1.23723V β=207 此时电位器为20%3.a.三极管的输入特性:图表8 输入特性测试电路图表9 最大不失真时输入特性曲线从上图数据可以得出rbe=2.8353kΩb.三极管的输出特性:电路图及曲线:图表10 输出特性测试电路图表11 最大不失真时输出特性曲线从上图数据可以得出rce=10.793kΩ4.输入电阻的测量:图表12 输入电阻测量电路及数据从上图数据可以得出测试输入电流i=3.864uA 电阻Ri=2.588kΩ理论输入电阻Ri=2.483 kΩ误差4.1%5.输出电阻的测量:图表13 输出电阻测量电路及数据从上图数据可以得出测试输出电阻Ro=2.097kΩ理论输出电阻Ro=2.030 kΩ误差3.2%6.电压增益:图表14 电压增益测量电路及数据Au=110.557.电路的频率特性:图表15 波特图及数据最大输出增益41.25dB图表15 波特图及数据fL=229Hz fH=14.470MHz四、实验小结从以上测量的数据与理论计算值比较可以发现存在一定的误差,误差产生的原因可能包括:a.本次试验中的器件均选用实际器件,它们自身存在随机误差,例如:实验所用三极管的输出特性曲线放大区并非理想的水平平行线,而是发散的,只就导致正常放大的波形出现不对称行,仿佛截止失真。

数字脉冲宽度测量仪-文亚平.(DOC)

数字脉冲宽度测量仪-文亚平.(DOC)

广西交通职业技术学院信息工程系作品设计报告书题目:数字脉冲宽度测量仪课程名称:课程设计班级:电信2006班组长:2006415044 文亚平组员: 2006415041 韦艳 2006415029 审海洋指导老师:成世龙二OO九年一月目录一、期考作品设计目标 (2)1.1功能要求 (2)二、期考作品设计方案论证与比 (2)2.1 各模块方案选择和论证 (2)2.2.1振荡器的选择 (2)2.2.2显示器的选择 (2)三、系统的硬件设计与实 (2)3.1 系统硬件概述 (2)3.2 主要单元电路的设计 (3)3.2.1 石英晶体振荡器电路的设 (3)3.2.2分频电路的设计 (4)3.2.3测量控制电路的设计 (4)3.2.4计数器、译码器和显示器电路的设计 (5)四、系统测试 (6)4.1 测试仪器 (6)五、期考作品调试过程分析 (6)六、期考作品设计制作总结 (7)七、期考作品提交及演示 (7)八、致谢词 (8)九、参考资料 (8)附录一:系统电路图 (9)附录二:系统主要元件清单 (10)一、期考作品设计目标1.1 功能要求:①测量时间范围:1~9999ms②测量单个正脉冲或负脉冲宽度时间③测量误差:±1字数字④手动测量⑤手动清零二、期考作品设计方案论证与比较2.1 各模块方案选择和论证2.2.1振荡器的选择:方案一: 555多谐振荡器,利用电阻电容的充放电和触发器来实现各种波形。

价格低廉,但频率稳定度稍差。

方案二:石英晶体振荡器测量时间精度较高,可产生标准计量时间,即时标脉冲,故本方案采用后者。

2.2.2显示器选择方案一:采用LED显示屏,可显示中西文及阿拉伯数字,常用字符及各种图案信息,具有图像编辑功能和文字编辑功能,但价格昂贵。

方案二:采用数码管显示。

它能在低电压、小电流条件下驱动发光,能与cmos、itl电路兼容。

体积小,重量轻,抗冲击性能好寿命长,使用寿命在10万小时以上,甚至可达100万小时。

「实验四单道脉冲幅度分析器」

「实验四单道脉冲幅度分析器」

「实验四单道脉冲幅度分析器」实验四:单道脉冲幅度分析器引言:脉冲信号是一种电信号,它的特点是0~1的时间内朝一个方向猛冲,然后立即返回原点,这个过程类似于周期性冲击。

脉冲信号广泛应用于许多领域,如通信、雷达、生物医学等。

因此,对脉冲信号进行分析和处理是十分重要的。

单道脉冲幅度分析器是一种常用的仪器,用于测量和分析脉冲信号的幅度。

本实验通过搭建实验电路,使用单道脉冲幅度分析器对脉冲信号进行幅度分析,以加深对脉冲信号的了解和认识。

一、实验目的:1.学会使用单道脉冲幅度分析器对脉冲信号进行幅度分析;2.了解脉冲信号的特点和测量方法;3.实验掌握脉冲信号的测量原理和技术。

二、实验原理:1.单道脉冲幅度分析器的基本原理单道脉冲幅度分析器是一种根据输入信号的幅度分析其脉冲幅度的仪器。

其基本原理是将输入信号与参考电平进行比较,通过多级放大和滤波处理后输出一个直流电压,该直流电压与脉冲信号的幅度成正比。

2.脉冲信号的特点脉冲信号是一种特殊的周期性信号,其特点是0~1的时间内快速向一个方向猛冲,然后立即返回原点。

脉冲信号的主要特点包括幅度、上升时间、下降时间、脉冲宽度和重复周期等。

3.单道脉冲幅度分析器的测量原理单道脉冲幅度分析器通过多级放大和滤波处理,可以将输入信号转化为与之成正比的直流电压。

具体原理如下:(1)输入信号经过输入放大电路进行放大;(2)放大后的信号经过滤波电路精细处理,去除噪声;(3)滤波后的信号经过整流电路将其转换为同频直流信号;(4)直流信号经过目标脉冲放大器进行放大,其放大倍数由用户自行设定;(5)放大后的信号经过最后的滤波和整流,得到与脉冲信号的幅度成正比的直流电压输出。

三、实验器材和仪表:1.单道脉冲幅度分析器:用于对脉冲信号进行幅度分析;2.信号发生器:用于产生脉冲信号;3.示波器:用于观察和测量脉冲信号。

四、实验步骤:1.搭建实验电路:将信号发生器的输出端与单道脉冲幅度分析器的输入端连接,将单道脉冲幅度分析器的输出端与示波器的输入端连接。

eda技术与实验报告

eda技术与实验报告
EDA技术与实验报告
近年来,EDA技术在电子设计领域发挥着越来越重要的作用。

EDA(Electronic Design Automation)技术是指利用计算机软件和硬件工具来设计、验证和生成
电子系统的过程。

它涵盖了从电路设计到芯片制造的整个流程,包括逻辑设计、物理设计、验证和仿真等各个环节。

在实验报告中,我们将重点介绍EDA技术在电子设计中的应用和优势。

首先,EDA技术可以大大提高设计效率和质量。

利用EDA工具,设计师可以快速完成
电路设计和验证,大大缩短了产品的上市时间。

其次,EDA技术可以帮助设计
师发现和解决潜在的设计问题,提高了设计的可靠性和稳定性。

此外,EDA技
术还可以实现自动化设计和优化,从而降低了设计成本和风险。

在实验中,我们使用了一款先进的EDA工具来设计和验证一个数字电路。

通过
该实验,我们深刻体会到了EDA技术的强大功能和优势。

在设计过程中,我们
可以通过EDA工具快速搭建电路原型,并进行逻辑仿真和时序分析,从而验证
设计的正确性和性能。

此外,EDA工具还提供了丰富的库元件和模型,可以大
大简化设计过程和提高设计的可靠性。

总的来说,EDA技术在电子设计领域发挥着不可替代的作用。

它不仅提高了设
计效率和质量,还为设计师提供了强大的工具和支持。

随着科技的不断发展,EDA技术将继续发挥着重要的作用,推动电子设计领域的进步和发展。

希望通
过本次实验报告的分享,可以让更多的人了解和认识EDA技术的重要性和价值。

EDA技术及应用课程设计报告报告

EDA技术及应用课程设计报告题目:数字频率计的设计班级:自动化132学生姓名:王肖亮指导老师:潘清明1.引言1).数字频率计数字频率计是采用数字电路制做成的能实现对周期性变化信号频率测量的仪器。

频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。

其扩展功能可以测量信号的周期和脉冲宽度。

通常说的,数字频率计是指电子计数式频率计。

测量频率的方法有很多,按照其工作原理分为无源测量法、比较法、示波器法和计数法等。

计数法在实质上属于比较法,其中最常用的方法是电子计数器法。

电子计数器是一种最常见、最基本的数字化测量仪器。

数字计数式频率计能直接计数单位时间内被测信号的脉冲数,然后以数字形式显示频率值。

这种方法测量精确度高、快速,适合不同频率、不同精确度测频的需要。

电子计数器测频有两种方式:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法,如周期测频法。

由于数字电路的飞速发展和集成电路的普及,计数器的应用十分广泛。

利用电子计数器测量频率具有精度高,显示醒目直观,测量迅速,以及便于实现测量过程自动化等一系列突出优点,所以该方法是目前最好的。

在电子技术领域,频率是一个最基本的参数。

数字频率计作为一种最基本的测量仪器以其测量精度高、速度快、操作简便、数字显示等特点被广泛应用。

许多物理量,例如温度、压力、流量、液位、PH值、振动、位移、速度等通过传感器转换成信号频率,可用数字频率计来测量。

尤其是将数字频率计与微处理器相结合,可实现测量仪器的多功能化、程控化和智能化.随着现代科技的发展,基于数字式频率计组成的各种测量仪器、控制设备、实时监测系统已应用到国际民生的各个方面。

2).EDA技术现代电子设计技术的核心已日趋转向基于计算机的电子设计自动化技术,即EDA技术。

EDA技术是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动地完成编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电路而随着技术的进步,EDA技术更多地应用到各个电子系统中已成一种趋势,本设计主要设计一8位10进制频率计。

eda实验报告

eda实验报告EDA(Exploratory Data Analysis)是一种常用的数据分析方法,通过对数据集进行可视化、统计和计算,来揭示数据中的潜在规律和趋势。

下面是一份关于EDA实验的报告,总字数700字。

实验目的:1. 熟悉EDA方法和流程;2. 分析数据集的特征和表现;3. 发现数据集中的异常和趋势。

实验过程:1. 数据收集与预处理本次实验使用的数据集是关于某公司销售数据的报告。

首先,收集了公司销售数据,并进行了数据清洗与预处理,包括去除重复数据、处理缺失值和异常值等。

2. 数据可视化通过绘制直方图、散点图和箱线图等可视化图表,分析如下几个方面:(1)销售额分布情况:绘制直方图分析销售额的分布情况,观察是否存在明显的集中趋势或异常值。

(2)销售额与时间的关系:绘制时间序列图,观察销售额随时间的变化趋势。

(3)不同产品类别的销售情况:绘制柱状图比较不同产品类别的销售额,从而分析各类别产品的市场表现。

(4)销售额与其他因素的关系:绘制散点图分析销售额与其他因素(如广告费用、产品价格等)之间的关系,发现潜在的规律。

3. 数据统计与计算通过对数据集进行统计和计算,揭示数据集中的特征和规律,如:(1)平均销售额:计算销售额的平均值,以了解公司销售的平均水平。

(2)销售额的标准差:计算销售额的标准差,以评估销售额的波动性和不稳定性。

(3)销售额的趋势:使用回归分析等方法,拟合销售额与时间的关系,从而预测未来的销售趋势。

实验结果:通过数据可视化和统计计算,我们获得了以下一些结果:1. 销售额的分布呈正偏态,大部分销售额集中在较低水平,但也存在一些异常值;2. 销售额随时间呈现出上升的趋势,说明公司的销售业绩在逐渐提升;3. 不同产品类别的销售额差异较大,其中某些类别的销售额明显高于其他类别;4. 销售额与广告费用和产品价格之间存在一定的正相关关系,即投入更多的广告费用和提高产品价格可以带来更高的销售额。

STM32利用捕获功能完成脉冲宽度测量解析

STM32利用捕获功能完成脉冲宽度测量解析脉冲宽度测量是一种常见的电子测量技术,它可以用来测量脉冲信号的时间间隔,常用于测量脉冲频率、PWM信号的占空比以及其他与时间相关的信号参数。

在STM32微控制器中,捕获功能可以使用定时器外设来实现。

定时器可以产生定时中断或者触发其他外设,同时,它还可以配置为捕获模式,以测量脉冲信号的时间间隔。

在使用STM32捕获功能进行脉冲宽度测量时,主要需要以下几个步骤:1.初始化定时器:选择合适的定时器外设,并根据具体需求配置计数模式、时钟源以及预分频系数。

需要注意的是,定时器的时钟源和预分频系数会影响测量的时间分辨率。

2.配置捕获模式:选择合适的输入通道,并配置捕获模式为边沿对齐模式或中心对齐模式。

边沿对齐模式适用于测量脉冲宽度,而中心对齐模式适用于测量脉冲间隔。

3.获取捕获值:在触发捕获事件时,通过读取捕获寄存器的值来获取脉冲宽度。

捕获值的单位由定时器的时钟源和预分频系数决定,通常为计数周期数。

4.计算脉冲宽度:根据捕获值和定时器的参数,可以计算出具体的脉冲宽度。

如果需要转化为实际的时间值,还需要考虑时钟源的频率和预分频系数。

在编写使用STM32捕获功能进行脉冲宽度测量的代码时,可以使用STM32Cube库或其他编写固件的开发工具。

以下是一个简单的示例代码:```c#include "stm32f4xx_hal.h"TIM_HandleTypeDef htim;void HAL_TIM_IC_CaptureCallback(TIM_HandleTypeDef *htim)if (htim->Instance == TIM1) { // 根据实际情况修改定时器实例uint32_t captureValue = HAL_TIM_ReadCapturedValue(htim, TIM_CHANNEL_1);float pulseWidth = (float)captureValue / htim.Instance->ARR;//在这里进行脉冲宽度的处理}int main(void)HAL_Init(;SystemClock_Config(;__HAL_RCC_GPIOA_CLK_ENABLE(;__HAL_RCC_TIM1_CLK_ENABLE(;GPIO_InitTypeDef GPIO_InitStruct;GPIO_InitStruct.Pin = GPIO_PIN_8;GPIO_InitStruct.Mode = GPIO_MODE_AF_PP;GPIO_InitStruct.Pull = GPIO_PULLUP;GPIO_InitStruct.Speed = GPIO_SPEED_FREQ_HIGH;GPIO_InitStruct.Alternate = GPIO_AF1_TIM1;HAL_GPIO_Init(GPIOA, &GPIO_InitStruct);htim.Instance = TIM1;htim.Init.Prescaler = 0;htim.Init.CounterMode = TIM_COUNTERMODE_UP;htim.Init.ClockDivision = TIM_CLOCKDIVISION_DIV1;HAL_TIM_Base_Init(&htim);TIM_IC_InitTypeDef sConfigIC;sConfigIC.ICPolarity = TIM_ICPOLARITY_RISING;sConfigIC.ICSelection = TIM_ICSELECTION_DIRECTTI; sConfigIC.ICPrescaler = TIM_ICPSC_DIV1;sConfigIC.ICFilter = 0;HAL_TIM_IC_ConfigChannel(&htim, &sConfigIC, TIM_CHANNEL_1); HAL_TIM_IC_Start_IT(&htim, TIM_CHANNEL_1);while (1)//主循环}```上述代码在初始化后,通过HAL库函数配置了一个TIM1定时器通道1的输入捕获模式,并启动了中断。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

湖南涉外经济学院 课程设计报告 课程名称: EDA技术与应用 报告题目: 脉冲宽度测量仪 学生姓名: 刘君玮 所在学院: 电子科学与信息学院 专业班级: 电子信息1302 学生学号: 134110229 指导教师: 罗志年 李刚

2015 年 12 月 24 日 EDA课程设计任务书 报告题目 脉冲宽度测量仪 完成时间

12.23-

1.11

学生姓名 刘君玮 专业班级 电信1302 指导教师 罗志年 李刚 职称 副教授 讲师 设计目的

在电子技术及其应用领域中,常需要对各种系统工作的时间特性进行分析测量,如图一给出的这种时间特性示意图,其中t表示时间脉冲宽度,即指脉冲起始时间和终止时间的持续时间。T表示一个工作周期,即从脉冲的一个上升沿到下一个上升的时间。

tT 图一 脉冲宽度与周期

在测量与仪器仪表领域,经常需要对数字信号的脉冲宽度进行测量,如转速传感器、外部系统的门控与选通脉冲,以及PWM (脉冲宽度调制)输入的频率等。因此可以说脉冲宽度和周期是关于脉冲的重要指标。无论是模似电路还是数字电路,往往都需要对脉冲宽度进行测量。

设计内容 (1)脉冲信号宽度的测量精度为±1ms。 (2)脉冲信号宽度的测量范围为0~10s。 (3)调试过程中可以用按键模拟脉冲信号。 (4)测量值用5位数码管显示(可以采用静态显示)。 (5)输入信号为标准TTL电平。 (6)调试中既可以采用正脉冲,也可以采用负脉冲(任选其一)。 (7)必须先进行前仿真,并打印出仿真波形。 (8)按要求写好设计报告(设计报告内容包括:引言,方案设计与论证,总体设计,各模块设计,调试与数据分析,总结)。

设计步骤 工作内容及时间进度安排 共2周:12.22 小组成员分工写好各模块的程序 12.23 将程序生成的模块进行调试、整合。 12.24 在实验平台上进行验证。

课程设计成果 1.与设计内容对应的软件程序 2.课程设计总结报告 摘 要 随着EDA技术的迅速发展,在EDA软件平台上,根据硬件描述语言VHDL完成的设计文件, 自动地完成逻辑编译、化简、分割、综合、优化、布局线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作成了脉冲测量的发展方向。采用此种发法,设计者的工作仅限于利用软件的方式来完成对系统硬件功能的描述,在EDA工具的帮助下和应用相应的FPGA/CPLD器件,就可以得到最后的设计结果。 通常采用脉冲计数法,即在待测信号的高电平或低电平用一高频时钟脉冲进行计数,然后根据脉冲的个数计算待测信号宽度,如图四所示。待测信号相对于计数时钟通常是独立的,其上升、下降沿不可能正好落在时钟的边沿上,因此该法的最大测量误差为一个时钟周期。例如采用50MHz的高频时钟,最大误差为20ns。

关键词:脉宽;脉冲;数显;电容 I

目 录 一、概述………………………………………………………………………………1 二、需求分析…………………………………………………………………………2 三、系统设计…………………………………………………………………………3 四、**模块详细设计与实现……………………………………………………4 五、结论与心得………………………………………………………………………6 六、参考文献…………………………………………………………………………6 1 一、概述 在测量与仪器仪表领域,经常需要对数字信号的脉冲宽度进行测量,如转速传感器、外部系统的门控与选通脉冲,以及PWM (脉冲宽度调制)输入的频率等。因此可以说脉冲宽度和周期是关于脉冲的重要指标。无论是模似电路还是数字电路,往往都需要对脉冲宽度进行测量。 参与此次课程设计的小组成员为:刘君玮,王郑军,刘格诚,杨卓龙。各自参与了程序编写、原理图绘制、实验验证的工作。 整体情况良好,在规定时间内完成了各项工作。 二、需求分析

1.脉冲检测 实现对脉冲的检测,即信号的输入。

2.计数器 对脉宽进行计数

3.数据选择器 对数据进行选择处理

4.LED显示译码器 对统计的结果进行显示

三、系统设计 1.系统模块 (1)脉冲检测模块 当有检测到P_IN端有脉冲输入(P_IN=1)时,EN_OUT=1输送到计数器的EN端。

(2)计数模块 2

时钟信号 计数信号 输入脉冲 清零复位

计数器 检 测 器

检测 计宽 计数

计数模块是对检测到的脉冲进行计数 ,计算出脉冲的宽度。 (3)译码显示模块 对输入的脉冲宽度进行编码,然后在数码管上显示出来。

2.总流程图

四、详细程序设计 (1)脉冲检测模块 library ieee; use ieee.std_logic_1164.all; entity check is port( P_IN : in std_logic; EN_OUT: out std_logic); end check; architecture behave of check is begin 3

process (P_IN) begin if(P_IN='1') then EN_OUT<='1'; ---实现检测,若有脉冲就输出为1 else EN_OUT<='0'; ---,否则为0 end if; end process; end behave; (2)计数模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity count is port(EN:in std_logic; CLK:in std_logic; CLR:in std_logic; CQ:out std_logic; Qout:BUFFER std_logic_vector(3 downto 0) ); end count; architecture art of count is begin U1:process(CLK,CLR,EN,QOUT) Begin if CLR='1' then Qout<="0000"; elsif CLK'EVENT and CLK='1' then if EN='1' then 4

if Qout="1001" then Qout<="0000"; else Qout<=Qout+'1'; end if; end if ; end if ; if Qout="1001" then CQ<='1'; else CQ<='0'; end if; end PROCESS U1; end art; (3)译码显示模块 library ieee; use ieee.std_logic_1164.all; ENTITY led IS PORT(X:IN BIT_VECTOR(3 DOWNTO 0); Y:OUT BIT_VECTOR(6 DOWNTO 0)); --sel:out std_logic_vector(7 downto 0)); END ENTITY led; ARCHITECTURE ART OF led IS

BEGIN --sel<="11111110"; PROCESS(X) is BEGIN IF X="0000" THEN Y<="1111110";--0 ELSIF X="0001" THEN Y<="0110000";--1 ELSIF X="0010" THEN Y<="1101101";--2

ELSIF X="0011" THEN Y<="1111001";--3 5

ELSIF X="0100" THEN Y<="0110011";--4 ELSIF X="0101" THEN Y<="1011011";--5 ELSIF X="0110" THEN Y<="0011111";--6 ELSIF X="0111" THEN Y<="1110000";--7 ELSIF X="1000" THEN Y<="1111111";--8 ELSIF X="1001" THEN Y<="1110011";--9 --ELSIF X="1010" THEN Y<="1110111";--A --ELSIF X="1011" THEN Y<="0011111";--b --ELSIF X="1100" THEN Y<="1001110";--C --ELSIF X="1101" THEN Y<="0111101";--d --ELSIF X="1110" THEN Y<="1001111";--E --ELSIF X="1111" THEN Y<="1000111";--F ELSE NULL; END IF; END PROCESS; END ARCHITECTURE ART;

五、总原理图与管脚锁定表

总模块图

相关文档
最新文档