多功能出租车计价器

多功能出租车计价器
多功能出租车计价器

多功能出租车计价器的设计

1.基本要求

(1)不同情况具有不同的收费标准。

● 白天

● 晚上

● 途中等待(>10min 开始收费)

(2)能进行手动修改单价。

(3)具有数据的复位功能。

(4)IO 口分配的简易要求。

● 距离检测使用霍尔开关A44E

● 白天/晚上收费标准的转换开关

● 数据的清零开关

● 单价的调整(最好使用+和-按键)

(5)数据输出(采用LCM103)。

● 单价输出 2 位

● 路程输出 2 位

● 总金额输出3 位

(6)按键。

● 启动计时开关

● 数据复位(清零)

● 白天/晚上转换

2.发挥部分

(1)能够在掉电的情况下存储单价等数据。

(2)能够显示当前的系统时间。

(3)语音播报数据信息。

NIGHT_PRICEEQU 6EH

MIDWAY_PRICEEQU 6DH

START_PRICEEQU 6CH

COUNTL EQU 6BH

COUNTH EQU 6AH

M100 EQU 69H ; 外部中断次数

DISTANCE EQU 68H ; 行车距离

MS100 EQU 67H

SEC EQU 66H

MIN EQU 65H

HOUR EQU 64H

LEDSL EQU 63H ; 时分钞显示缓冲区

LEDSH EQU 62H

LEDML EQU 61H

LEDMH EQU 60H

LEDHL EQU 5FH

LEDHH EQU 5EH

LEDDANJIAL EQU 5DH ; 总额,单价显示缓冲区LEDDANJIAH EQU 5CH

LINE_ EQU 5BH

LEDCOUNT1 EQU 5AH

LEDCOUNT2 EQU 59H

LEDCOUNT3 EQU 58H

LEDDANJIA2L EQU 57H ; 路程,单价显示缓冲区LEDDANJIA2H EQU 56H

LINE__ EQU 55H

LINE___ EQU 54H

LEDDISTANCEL EQU 53H

LEDDISTANCEH EQU 52H

LEDDAY_PL EQU 51H ; 中途,晚上,白天显示缓冲区LEDDAY_PH EQU 50H

LEDNIG_PL EQU 4FH

LEDNIG_PH EQU 4EH

LEDW_PH EQU 4CH

S1 BIT P1.0

S2 BIT P1.1

S3 BIT P1.2

S4 BIT P1.3

S11 EQU 4BH

S22 EQU 4AH

S33 EQU 49H

S44 EQU 48H

M1 EQU 47H

H1 EQU 46H

M2 EQU 45H

H2 EQU 44H

PRICE EQU 43H

ORG 0000H

AJMPMAIN

ORG 0003H

AJMPTO

ORG 000BH

AJMPTOTIME

ORG 001BH

AJMPTOSTART

ORG 0030H MAIN: MOV SP,#70H

MOV TMOD, #11H

MOV TH0, #3CH

MOV TL0, #0B0H

MOV TH1, #3CH

MOV TL1, #0B0H

MOV 22H, #0AH

SETB EA

SETB TR0

SETB EX0

SETB IT0

SETB ET0

SETB ET1

MOV R7,#34

MOV R0,#69H

LOOPL:MOV @R0,#0

DEC R0

DJNZR7,LOOPL

MOV COUNTL, #00H ; 起步价

MOV COUNTH, #05H

MOV DAY_PRICE,#25H ; 白天价格初始化

MOV NIGHT_PRICE,#30H ; 晚上价格初始化

MOV MIDWAY_PRICE,#10H ; 中途等待初始化

MOV START_PRICE, #50H ; 起步价初始化

MOV S11, #0

MOV S22, #0

MOV S33, #0

MOV S44, #0

MOV DISTANCE, #02H

MOV HOUR, #12H

KEY_MAIN: SETB TR0

ACALL HMS_DIS

ACALL T100MSD

ACALL T100MSD

ACALL T100MSD

JB S1, KEY_MAIN

ACALL T10MSD

JB S1, KEY_MAIN

JNB S1,$

SJMP WAI1

WA1:JB S1, K1

ACALL T10MSD

JB S1, K1

WAIT1:JNB S1, WAIT1

MOV R0, #LEDDANJIAL

MOV R2, #6

CLR A

MOV COUNTL,A

MOV COUNTH,A

MOV M100, A

MOV DISTANCE,A

BK1: MOV @R0, A

DEC R0

DJNZ R2, BK1

MOV PRICE,DAY_PRICE; 起动初始化

MOV COUNTL, #00H; 起步价

MOV COUNTH, #05H

LCALL CP_DIS; 总金额和单价显示K1: JB S2, K2

ACALL T10MSD

JB S2, K2

WAIT2: JNB S2, WAIT2

INC S22

MOV A, S22

CJNE A, #1, NEXT1

LCALL PA_DIS

LJMP KEY_DJ

NEXT1:CJNE A, #2, NEXT2

CLR TR0

LCALL HMS_DIS

LJMP KEY_SJ

NEXT2:CJNE A, #3, K2

MOV S22, #0

K2: JB S3, K3

ACALL T10MSD

JB S3, K3

WAIT3:JNB S3, WAIT3

INC S33

MOV A, S33

CJNE A, #1, NXT1

LCALL DP_DIS

NXT1: CJNE A, #2, NXT2

CLR C

MOV A, M2

SUBB A, M1

MOV M1, A

MOV A, H2

SUBB A, H1

MOV H1, A

NXT2: CJNE A, #3, K3

MOV S33, #0

LCALL CP_DIS

K3: JB S4, BK_KEY_MAIN

ACALL T10MSD

JB S4, BK_KEY_MAIN WAIT4:JNB S4, WAIT4

INC S44

MOV A, S44

CJNE A, #1, NT1

MOV PRICE,DAY_PRICE

LCALL CP_DIS

NT1:CJNE A, #2, NT2

MOV PRICE,NIGHT_PRICE

LCALL CP_DIS

NT2:CJNE A, #3, NT3

MOV PRICE,MIDWAY_PRICE

SETB TR1

LCALL CP_DIS

NT3:CJNE A, #4, BK_KEY_MAIN MOV S44, #0

LJMP KEY_MAIN

BK_KEY_MAIN: LJMP WA1

KEY_DJ:JB S1, KY1

ACALL T10MSD

JB S1, KY1

WAIT5:JNB S1, WAIT5

INC S11

MOV A, S11

CJNE A, #1, NEXT11

LJMP DAY_P

NEXT11: CJNE A, #2,

NEXT12

LCALL NIG_P

NEXT12:CJNE A, #3, KY1

LCALL MID_P

MOV S11, #0

LJMP KEY_MAIN

KY1:JB S2, KY2

ACALL T10MSD

JB S2, KY2

WAIT6:JNB S2, WAIT6

INC S22

MOV A, S22

CJNE A, #1, NEXT13

LCALL PA_DIS

LJMP KEY_DJ

NEXT13:CJNE A, #2, NEXT14

CLR TR0

LCALL HMS_DIS

LCALL KEY_SJ

NEXT14:CJNE A, #3, KY2

MOV S22, #0

LJMP KEY_MAIN

KY2:AJMP KEY_DJ

KEY_SJ:JB S1, KEY1

JB S1, KEY1

WAIT7:JNB S1, WAIT7

INC S11

MOV A, S11

CJNE A, #1,NEXT21

LCALL HOU_T

NEXT21:CJNE A, #2,NEXT22

LCALL MIN_T

NEXT22: CJNE A, #3,KEY1

MOV S11, #0

LJMP KEY_MAIN

KEY1: JB S2, KEY2

ACALL T10MSD

JB S2, KEY2

WAIT8:JNB S2, WAIT8

INC S22

MOV A, S22

CJNE A, #1, NEXT23

LCALL PA_DIS

LJMP KEY_DJ

NEXT23:CJNE A, #2, NEXT24

CLR TR0

LCALL HMS_DIS NEXT24:CJNE A, #3, KEY2

MOV S22,#0

LCALL KEY_SJ

LJMP KEY_MAIN

KEY2:AJMP KEY_SJ

DAY_P:SETB F0

LCALL T100MSD

LCALL T100MSD

MOV LEDDAY_PL, #0AH

MOV LEDDAY_PH, #0AH

LCALL T100MSD

LCALL T100MSD

LCALL PA_DIS

LP1: JB S3, KEY11

MOV A, #1

ADD A, DAY_PRICE

DA A

MOV DAY_PRICE,A

MOV A, DAY_PRICE

CJNE A, #99H, KEY11

MOV DAY_PRICE, #0 KEY11: JB S4, KEY12

LCALL T10MSD

JB S4, KEY12

MOV A,DAY_PRICE

ADD A, #99H

DA A

MOV DAY_PRICE,A

CJNE A, #00H, KEY12

MOV A, #99H

KEY12: JB S1, KEY13

ACALL T10MSD

JB S1, KEY13

WAIT11: JNB S1, WAIT11

INC S11

MOV A, S11

CJNE A, #1,

NEXT31

LCALL DAY_P

NEXT31:CJNE A, #2,NEXT32

LCALL NIG_P

NEXT32:CJNE A, #3,NEXT33

LCALL MID_P

NEXT33:CJNE A, #4, KEY13

MOV S11, #0

KEY13:JB S2, KEY14

LCALL T10MSD

JB S2, KEY14

WAIT12:JNB S2, WAIT12

LJMP KEY_MAIN

KEY14:AJMP DAY_P

NIG_P:SETB F0 ;调用定时延时标志位LCALL T100MSD

LCALL T100MSD

MOV LEDNIG_PL, #0AH

MOV LEDNIG_PH, #0AH

LCALL PA_DIS1

LCALL T100MSD

LCALL T100MSD

LCALL PA_DIS

JB S3, KEY21

LCALL T10MSD

JB S3, KEY21

MOV A, #1

ADD A, NIGHT_PRICE

DA A

MOV NIGHT_PRICE,A

CJNE A, #99H,KEY21

MOV NIGHT_PRICE,#0

KEY21:JB S4, KEY22

LCALL T10MSD

JB S4, KEY22

MOV A, NIGHT_PRICE

ADD A, #99H

DA A

MOV NIGHT_PRICE,A

CJNE A, #00H, KEY22

MOV NIGHT_PRICE,#99H

KEY22:JB S1, KEY23

ACALL T10MSD

JB S1, KEY23

WAIT15:JNB S1, WAIT15

INC S11

MOV A, S11

CJNE A, #1,

NEXT41

LCALL DAY_P

NEXT41:CJNE A, #2,NEXT42

LCALL NIG_P

NEXT42:CJNE A, #3,NEXT43

LCALL MID_P

NEXT43:CJNE A, #4, KEY23

MOV S11, #0

LJMP KEY_DJ

KEY23:JB S2, KEY24

LCALL T10MSD

JB S2, KEY24

WAIT30:JNB S2, WAIT30

LJMP KEY_MAIN

KEY24: AJMP NIG_P

MID_P: SETB F0 ;调用定时延时标志位LCALL T100MSD

MOV LEDW_PL, #0AH

MOV LEDW_PH, #0AH

LCALL PA_DIS1

LCALL T100MSD

LCALL PA_DIS

JB S3, KEY31

LCALL T10MSD

JB S3, KEY31

MOV A, MIDWAY_PRICE

ADD A, #1

DA A

MOV MIDWAY_PRICE,A

CJNE A, #99H, KEY31

MOV MIDWAY_PRICE, #00H

CJNE A, #100, KEY31

MOV MIDWAY_PRICE, #0 KEY31: JB S4, KEY32

LCALL T10MSD

JB S4, KEY32

MOV A, MIDWAY_PRICE

ADD A, #99H

DA A

MOV MIDWAY_PRICE,A

CJNE A, #00H, KEY32

MOV NIGHT_PRICE,#99H KEY32: JB S1, KEY33

ACALL T10MSD

JB S1, KEY33

WAIT18: JNB S1, WAIT18

INC S11

MOV A, S11

CJNE A, #1,

NEXT51

LCALL DAY_P

NEXT51: CJNE A, #2,NEXT52

LCALL NIG_P

NEXT52: CJNE A, #3,NEXT53

LCALL MID_P

NEXT53: CJNE A, #4, KEY33

MOV S11, #0

LJMP KEY_DJ

KEY33: JB S2, KEY34

LCALL T10MSD

JB S2, KEY34

WAIT31:JNB S2, WAIT31

LJMP KEY_MAIN

KEY34: AJMP MID_P

HOU_T: SETB F0 ;调用定时延时标志位

LCALL T100MSD

LCALL T100MSD

MOV LEDHL, #0AH

MOV LEDHH, #0AH

LCALL HMS_DIS1;直接调用显示,不经过拆分程序

LCALL T100MSD

LCALL T100MSD

MOV SEC, #0

LCALL HMS_DIS

JB S3, KEY41

MOV A, HOUR

ADD A, #1

DA A

MOV HOUR,A

CJNE A, #24H, KEY41

MOV HOUR, #0

KEY41: JB S4, KEY42

MOV A, HOUR

ADD A, #99H

DA A

MOV HOUR,A

CJNE A, #99H, KEY42

MOV HOUR, #23H

KEY42: JB S1, KEY43

ACALL T10MSD

JB S1, KEY43

WAIT21: JNB S1, WAIT21

INC S11

MOV A, S11

CJNE A, #1,NEXT61

LJMP HOU_T

NEXT61: CJNE A, #2,NEXT62

LJMP MIN_T

NEXT62: CJNE A, #3,KEY43

MOV S11, #0

LJMP KEY_SJ

KEY43: JB S2, KEY44

LCALL T10MSD

JB S2, KEY44

WAIT32: JNB S2, WAIT32

LJMP KEY_MAIN

KEY44: AJMP HOU_T

MIN_T: SETB F0 ;调用定时延时标志位

LCALL T100MSD

LCALL T100MSD

MOV LEDML, #0AH

MOV LEDMH, #0AH

LCALL HMS_DIS1;直接调用显示,不经过拆分程序

LCALL T100MSD

LCALL T100MSD

MOV SEC, #0

LCALL HMS_DIS

JB S3, KEY51

MOV A, MIN

ADD A, #1

DA A

MOV MIN, A

CJNE A, #60H, KEY51

MOV MIN, #0

KEY51: JB S4, KEY52

MOV A, MIN

ADD A, #99H

DA A

CJNE A, #99H, KEY52

MOV MIN, #59H

KEY52: JB S1, KEY53

ACALL T10MSD

JB S1, KEY53

WAIT24: JNB S1, WAIT24

INC S11

MOV A, S11

CJNE A, #1,NEXT71

LCALL HOU_T

NEXT71: CJNE A, #2,NEXT72

LCALL MIN_T

NEXT72: CJNE A, #3,KEY53

SETB TR0

MOV S11, #0

LJMP KEY_SJ

KEY53: JB S2, KEY54

LCALL T10MSD

JB S2, KEY54

WAIT33: JNB S2, WAIT33

LJMP KEY_MAIN

KEY54: AJMP MIN_T TOTIME: PUSH PSW

PUSH ACC

MOV TH0, #3CH

MOV TL0, #0B0H

INC MS100

MOV A, MS100

CJNE A, #10,RETURN

MOV MS100,#0

MOV A, SEC

ADD A, #1

DA A

CJNE A,#60H,RETURN

MOV SEC,#0

MOV A, #1H

ADD A, MIN

DA A

MOV MIN,A

CJNE A,#60H, RETURN

MOV MIN,#0

MOV A, #1H

ADD A, HOUR

DA A

MOV HOUR,A

CJNE A, #24H,RETURN

MOV HOUR,#0

RETURN: POP ACC

POP PSW

RETI

TO: PUSH PSW

PUSH ACC

MOV A,#1

ADD A,M100

MOV M100,A

MOV A, M100

CJNE A, #100,RETURN1

MOV M100,#0

INC 21H

MOV A,21H

CJNE A, #0AH, RETURN1 ;已经到了1公里

MOV 21H,#0

MOV A, #1

ADD A, DISTANCE

DA A

MOV DISTANCE,A

MOV A, PRICE

ADD A, COUNTL

DA A

MOV COUNTL,A

CJNE A, #10H,RETURN1

MOV COUNTL,#0

MOV A, #1

ADD A, COUNTH

DA A

MOV COUNTH,A

CJNE A, #99H, RETURN1

MOV COUNTH,#0

RETURN1: POP ACC

POP PSW

RETI

TOSTART: PUSH PSW

PUSH ACC

MOV TH1, #3CH

MOV TL1, #0B0H

DJNZ 22H, RETURN2 ;1S未到返回MOV 22H, #0AH

MOV A, #1

ADD A, 23H

DA A

MOV 23H, A

CJNE A, #60H, RETURN2

MOV 23H, #0

MOV A, #1

ADD A, 24H

DA A

MOV 24H,A

CJNE A, #10H, RETURN2

MOV 24H, #0

MOV A, START_PRICE

ADD A, COUNTL

DA A

MOV COUNTL,A

CJNE A, #10H, RETURN2

MOV COUNTL, #0

MOV A, #1

ADD A, COUNTH

DA A

MOV COUNTH,A

CJNE A, #99H,RETURN2

MOV COUNTH,#0

RETURN2: POP ACC

POP PSW

RETI

HMS_DIS:PUSHACC

PUSHPSW

SETBRS1

MOV R0,#SEC ;时分秒的拆分(三个字节)

MOV R1,#LEDSL

MOV R6,#03H

LOOP1:MOV A, @R0

MOV B, A

ANL A, #0FH

MOV @R1,A

DEC R1

MOV A, B

SWAPA

ANL A, #0FH

MOV @R1,A

DEC R1

DEC R0

DJNZR6,LOOP1

POP PSW

POP ACC

HMS_DIS1: PUSH ACC

PUSH PSW

MOV R7,#06H

MOV R0,#LEDSL

LOOP: MOVA, @R0

MOVDPTR,#TAB

MOVCA,@A+DPTR

MOV SBUF,A

BK: JBCTI,NEXT

SJMPBK

NEXT: DECR0

DJNZR7,LOOP

CLRRS1

POPPSW

POPACC

RET

CP_DIS:PUSH ACC

PUSH PSW

SETB RS1

MOV R0,#COUNTH ;

MOV R1,#LEDCOUNT2

MOV A, @R0

MOV B, A

ANL A, #0FH

MOV @R1,A

DEC R1

MOV A, B

SWAPA

ANL A, #0FH

MOV @R1,A

MOV LEDCOUNT1,COUNTL

MOV A,LEDCOUNT1 ;送总金额低位

MOV DPTR,#TAB

MOVC A, @A+DPTR

MOV SBUF,A

JNB TI,$

CLR TI

MOV A,LEDCOUNT2 ;送总金额中位

MOV DPTR,#TAB

MOVC A,@A+DPTR

CPL ACC.4

MOV SBUF,A

JNB TI, $

CLR TI

MOV A,LEDCOUNT3 ;送总金额高位

MOV DPTR,#TAB

MOVC A,@A+DPTR

MOVSBUF,A

JNB TI,$

CLR TI

CLR RS1

MOV SBUF,#0FEH ;送短线(短线的字型码是0FEH) JNB TI, $

CLR TI

MOV R0,#PRICE;单价拆分(两个字节)

MOV R1,#LEDDANJIAL

MOV R6,#03H

MOV A, @R0

MOV B, A

ANL A, #0FH

MOV @R1,A

DEC R1

MOV A, B

SWAPA

ANL A, #0FH

MOV @R1,A

DEC R1

MOV A,LEDDANJIAL ;送单价低位

课程设计-出租车自动计费器设计

新疆大学 课程设计报告 所属院系:电气工程学院 专业:电气工程及其自动化课程名称:电子技术基础B 设计题目:出租车自动计费器班级:电气#####班 学生姓名:要要 学生学号:@@@@@@ 指导老师: 常翠宁刘兵完成日期:2013. 1. 4

出租车自动计费器 一、总体方案的选择 1.拟定系统方案框图: 方案一: 汽车在行驶时,里程传感器将里程数转换成与之成正比的脉冲个数,然后由计数译码电路变成收费金额。里程传感器由磁铁和干簧管组成,磁铁置于变速器涡轮上,每行驶100米,磁铁与干簧管重合一次,即输出一个脉冲信号,则10个脉冲/公里(设为P3)。里程单价(设2.1元/公里)可由两位(B2=2、B1=1)BCD拨码开关设置,经比例乘法器(如J 690)后将里程计费变换成脉冲数P1=P3(1B2+0.1B1)。由于P3=10,则P1为21个脉冲,即脉冲当量为0.1元/脉冲。 同理,等车计费也可以转换成脉冲当量,这需要由脉冲发生器产生10个脉冲/10分钟(设为P4),如果等车单价为0.6元/10分钟(置B4=0、B3=6),经比例乘法器后将等车计费变换成脉冲数P2=P4(0B4+0.1B3)。由于P4=10,则P2为6个脉冲,即得到相同的脉冲当量为0.1元/脉冲。同理,起步价(设3元)也可以转换成脉冲数(P0= 单价/当量=5/0.1=50个脉冲)或者将P0作为计数器的预置信号(框图所示)。最后行车费用转换成脉冲总数P=P0+P1+P2,其结果用译码显示器显示。 图1:出租车自动计费器方案一框图

脉冲,行程里程及起步电路则是60毫秒/脉冲。而等候电路为6秒/脉冲。实现此电路我用555多谐振荡器构成。为了减少实验测量时间,我把脉冲周期都缩小了1000倍,即0.06毫秒/脉冲和6毫秒/脉冲。设计电路图及仿真波形图如下: 图3:0.06毫秒555多谐振荡脉冲电路 图4:0.06毫秒脉冲波形图

出租车计价器系统完整版

智能电子产品设计与制作 课程设计(论文) 题目: 《出租车计价器系统设计》 学院:电气与电子信息工程学院 专业名称: 学号: 学生姓名: 同组成员: 指导教师: 课设时间:2011年5月23日—2011年6月10日 目录

一.设计目的 (2) 二.设计要求 (2) 三.系统结构 (2) 四.功能模块设计 (3) 五.软件设计 (5) 六.电路组装与调试 (6) 七.电路仿真 (7) 八.总结 (8) 八.附录 (9)

出租车计价器课程设计 一、设计目的 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。本设计采用AT89S52单片机为主控器,以红外对管测转速,对实际里程的模拟,实现对出租车的多功能的计价设计,并采用AT24C01实现在系统掉电的时候保存单价等信息,输出采用8段数码显示管。本电路设计的计价器不但能实现基本的计价,而且还能根据白天,黑夜和中途等待来调节单价。 二、设计要求 出租车计价器根据乘客乘坐汽车行驶距离和等候时间的多少进行计价,并在行程中同步显示车费值。从起步价开始,当汽车程行驶未满3公里时,均按起步价计算。过3公里后,实现每1公里单价收费,中间遇暂停时,计程数不再增加,开始计时收费,测距收费和测时收费的和便构成了一位乘客的车费。同时,白天和夜晚价格不同,可以进行切换。白天单价、夜晚单价、等待单价和起步价格都可通过独立键盘进行调节。(默认起步价为5元/3公里,里程单价白天为1.5元/公里,夜晚为1.8元/公里,等待计时单价为0.5元/5分钟) 三、系统结构 根据设计的要求选择实验的方案:采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。设计采用AT89S51单片机为主控器,以红外对管和电机测转速(按键替代),实现对出租车的基本的计价设计,并采用AT24C02实现在系统掉电的时候保存单价等信息,输出采用8段数码显示管,相对液晶显示价格便宜,利用单片机丰富的I/O端口,及其控制的灵活性,实现基本的计价功能。 器系统结构图如下: 四、功能模块 1、单片机模块

基于单片机出租车多功能计价器

基于单片机出租车多功能计价器

大连理工大学城市学院本科生毕业设计(论文) 学院:电子与自动化学院 专业:自动化 学生: 姜美芹 指导教师:刁立强 完成日期:2013年6月6日

大连理工大学城市学院本科生毕业设计(论文)题目基于单片机出租车多功能计价器设计 总计毕业设计(论文)32页 表格6表 插图26幅

摘要 随着社会的高度发展,出租车已经成为人们日常生活中必不可少的代步工具,但由于各个地方的生活水平不同,导致物价存在一定的差异,因此出租车的计费标准也不尽相同。这就要求我们设计出简易化、智能化、计价精确、可移植、可靠性高的出租车计价器。 本次设计利用软件设计和硬件设计相结合,设计出具有性能可靠、电路简单、成本低等特点的多功能计价器。由单片机AT89C51控制,使用12MHz 晶振提供时钟信号,利用装在车轮上的霍尔传感器A44E发出的脉冲个数来测速,因为本设计涉及到白天和黑夜的转换,通过AT24C02实现白天和黑夜单价的修改和存储,从而实现白天和黑夜不同的计费标准。另外AT24C02中的数据是不可随意更改的,具有防作弊的效果。通过C语言编程实现记时、里程检测、费用计算,同时通过LED数码管将里从而达到计费的目的。最后将总车费和总金额很直观的在LED数码管中显示出来,达到计价器计价收费的目的。而且,本次设计还通过DS1302,可以在不计价的情况下作为时钟显示时、分、秒,使驾驶员了解实时时间。系统包括控制模块、里程传感模块、掉电保护模块、独立键盘电路、电源电路、时钟显示模块部分。该系统设有五个按键(清除、查询/确认、停止、白天/黑夜、功能选择),进行相应的操作就可实现单程/往返模式选择、停止计费、等待时间查询、清除复位等功能,使操作简单方便。 关键词:单片机; 霍尔传感器A44E; LED 数码管;DS1302 I

单片机课程设计 出租车计价器

摘要 出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。本设计的是一个基于单片机AT89S52的出租车自动计费设计,附有复位电路,时钟电路,键盘电路等。复位电路是单片机的初始化操作,除了正常的初始化外,为摆脱困境,通过复位电路可以重新开始。时钟电路采用12MHz的晶振,作为系统的时钟源,具有较高的准确性。 在上电时LED数码管显示最初的起步价,里程收费,等待时间收费三种收费。按暂停键,计价器可暂停计价,按查询键,在LED数码管上可以显示运行时等待的时间。通过计算可以得出总共的费用和总的路程。在这里主要是以AT89S52 单片机为核心控制器,P0口、P2 口接两片四合一数码管,P1口接按键,通过按键输入。 关键词:单片机 AT89S52;LED数码管;出租车计费器;

目录 1 概述 (1) 1.1 课题简介 (1) 1.2 功能要求 (1) 2 系统总体方案及硬件设计 (2) 2.1 系统工作原理及总体方案 (2) 2.2 单片机最小系统单元 (3) 2.3 霍尔传感器检测单元 (3) 2.4 键盘调整单元 (5) 2.5 显示单元 (5) 3 软件设计 (7) 3.1系统主程序 (7) 3.2 按键扫描程序 (8) 3.3 中断程序 (9) 3.4 计算程序 (10) 3.5 显示程序 (10) 4 实验仿真 (12) 4.1 Proteus介绍 (12) 4.2 调试与测试 (12) 4.3 里程计价测试 (12) 5 课程设计体会 (14) 参考文献 (15) 附1:系统原理图 (16) 附2:源程序代码 (16)

多功能出租车计价器设计资料

多功能出租车计价器 目录 第1节引言 (1) 1. 1 出租车计价器概述 (1) 1. 2 本设计任务 (1) 1.2.1 设计任务 (1) 1.2.2 设计要求 (1) 1. 3 系统主要功能 (2) 第2节出租车计价器硬件设计 (3) 2.1 系统的硬件构成及功能 (3) 2. 2 AT89S51单片机及其引脚说明 (3) 2.3 AT24C02引脚图及其引脚功能 (6) 2. 4 AT24C02 掉电存储单元的设计 (6) 2.5 里程计算、计价单元的设计 (7) 2.6 数据显示单元设计 (8) 第3节系统软件设计 (10) 3. 1 系统主程序设计 (10) 3. 2 定时中断程序设计 (10) 3. 3 里程计数中断服务程序设计 (10) 3.4 中途等待中断服务程序设计 (10) 3. 5 键盘服务程序设计 (10) 3. 6 显示子程序服务程序设计 (10) 第4节系统调试与测试结果分析 (13) 4. 1 使用的仪器仪表 (13) 4.2 系统调试 (13) 4.3 测试结果 (13) 4.4 测试结果分析 (13) 第5节结束语 (14) 附录 (15) 参考文献 (40)

多功能出租车计价器 第1节引言 本次课程设计利用单片机技术来实现一台多功能出租车计价器,具有性能可靠、电路简单、成本低等特点。 1.1 出租车计价器概述 计价器显示的营运金额是营运里程与价格的函数(等候时间一般折算成一定比例的里程来计算)。出租车计价器通过传感器与行驶车辆连接。出租汽车的实际里程通过传感器的脉冲信号在计价器里折算成一定的计价营运里程。目前市场上出租车计价器功能主要有具有数据的复位功能、白天/晚上转换功能、数据输出功能、计时计价功能等等,但能够进行语音播报数据信息的出租车计价器还是比较少见的,针对这一点我们来设计一款多功能出租车计价器,在原有功能的基础上增加单价输出、单价调整、路程输出、显示当前的系统时间、语音播报数据信息等功能。 1.2本设计任务 1.2.1设计任务 设计一款基于AT89S51单片机的出租车计价器。 1.2.2 设计要求 1.基本要求 (1)不同情况具有不同的收费标准。 ● 白天 ● 晚上 ● 途中等待(>10min 开始收费) (2)能进行手动修改单价。 (3)具有数据的复位功能。 (4)IO 口分配的简易要求。 ● 距离检测使用霍尔开关A44E ● 白天/晚上收费标准的转换开关 ● 数据的清零开关

出租车计价器电路设计

中北大学 课程设计说明书 学生姓名:学号: 学院: 仪器与电子学院 专业: 微电子科学与工程 题目: 出租车计价器电路设计 指导教师:马游春 2014 年 1 月 2 日

目录 1设计目的 (2) 2设计要求 (2) 3设计内容 (2) 1设计过程 (2) 2设计所用器件简介 (4) 3设计所需器件归纳 (7) 4设计结果/仿真结果 (8) 5心得体会 (10) 6参考文献 (10) 附件 (11)

一、设计目的 1.掌握电子电路的一般设计方法和设计流程; 2.学习简单电路系统设计,掌握Protel99的使用方法; 3.掌握锁存器、计数器、加法器等中规模数字集成器件的逻辑功能和使用方法; 4.学习掌握硬件电路设计的全过程。 二、设计要求 1.查阅所用器件技术资料,详细说明设计的出租车计价器工作流程; 2.里程数精确到1公里,起步价按5元/3公里,超出里程单价按1元/1公里进行计价。 3.等候时按1元/15分钟计价。设置一个计时按键处理等候等突发事件; 4.整理设计内容,编写设计说明书。 三、设计内容 1 设计过程 使用NI Multisim12.0软件绘制原理图。 应用NI Multisim10对所设计的电路进行仿真。 使用ALTIUM DESIGNER制作PCB版图。 1.1设计思路 出租车自动计费器是根据客户用车的实际情况而自动显示用车费用的数字仪表。仪表根据用车起价、行车里程计费及等候时间计费三项求得客户用车的总费用,通过数码自动显示。里程传感器可用干簧继电器实现,安装在与汽车车轮相连接的涡轮变速器上的磁铁使干簧继电器在汽车每前进十米闭合一次,即输出一个脉冲信号,实验用一个脉冲源模拟。 通过信号发生器模拟汽车车轮上传感器传来的脉冲信号,用计数器进行计数,然后触发里程计数器进行累加,依次向高位进位并用4位数码管显示路程;另一方面,将传来的脉冲通过比较器与3公里进行比较,大于三公里时开始触发价格计数器累加,并利用加法器与5元相加,也依次向高位进位并用4位数码管显示价格。 1.2 工作原理及硬件框图 本设计方案系统的总体工作原理如图6所示。有两种收费方式(通过按键实现两种收费方式的转换):按行驶里程收费,起步费为5.0元,并在车行3公里后再按1.0 元/公里:按等候时间计费,当车停止不走时,按1.0元/15分钟计费。最高计费为9999.9元,当乘客到达目的地时,将路程及车费显示出来。

出租车计价器设计与实现

沈阳航空航天大学 课程设计报告 课程设计名称:微机系统综合课程设计 课程设计题目: 出租车计价器的设计与实现 院(系): 计算机学院 专 业 : 计算机科学与技术 班 级: 24010104 学 号: 2012040101037 姓 名: 程里 指导教师: 罗振 说明:结论(优秀、良好、中等、及格、不及格)作为相关教环节考核必要依据;格式不符合要 求;数据不实 ,不予通过。报告和电子数据必须作为实验现象重复的关键依据。

学术诚信声明 本人声明:所呈交的报告(含电子版及数据文件)是我个人在导师指导下独立进行设计工作及取得的研究结果。尽我所知,除了文中特别加以标注或致谢中所罗列的内容以外,报告中不包含其他人己经发表或撰写过的研究结果,也不包含其它教育机构使用过的材料。与我一同工作的同学对本研究所做的任何贡献均己在报告中做了明确的说明并表示了谢意。报告资料及实验数据若有不实之处,本人愿意接受本教学环节“不及格”和“重修或重做”的评分结论并承担相关一切后果。 本人签名: 日期:年月

沈阳航空航天大学课程设计任务书

课程设计总结:

目录 1 引言 0 1.1出租车计价器概述 0 1.2计价器整体功能描述结构 0 1.3各部分电路功能描述 (1) 2计价器硬件设计 (1) 2.1系统的硬件构成及功能 (1) 2.2MCS-51系列单片机内部结构及功能部件 (3) 2.2.1MCS-51系列单片机的内部结构框图 (3) 2.2.2单片机外部引脚说明 (4) 2.2.3并行输入/输出接口 (6) 3系统的软件设计 (6) 3.1软件总体设计 (6) 3.2系统主程序设计 (8) 3.3显示子程序服务程序 (9) 3.4按键服务程序 (9) 4系统调试与测试结果分析 (10) 4.1系统调试 (10) 4.1.1硬件调试 (10) 4.1.2软件调试 (10) 4.1.3软硬件联调 (10) 4.2 电路图 (11) 参考文献 (10) 附录(关键部分程序清单) (13)

基于单片机的多功能出租车计价器的设计

基于单片机的多功能出租车计价器的设计 肖卫初,陈伟宏,杨 明 (湖南城市学院 湖南益阳 413000) 摘 要:介绍一种以单片机A T89S52为核心的多功能出租车计价器的设计,阐述软硬件设计过程中关键技术的处理。仿真结果表明该计价器具有集计程、计时、计费、存储、查看、统计等多种计量功能,并且具有超速提醒、防止司机作弊、语音、打印和显示等多种功能。与已有的系统相比,该系统具有超速提醒等更强的功能。 关键词:出租车计价器;多功能;A T89C52;软硬件设计 中图分类号:TP368.1 文献标识码:B 文章编号:10042373X (2008)162180206 Design of Multi 2f unction T aximeter B ased on Single Chip Microcomputer XIAO Weichu ,CH EN Weihong ,YAN G Ming (Hunan City University ,Y iyang ,413000,China ) Abstract :The designs of a new type of taximeter based on single chip microcomputer A T89S52are presented.How to solve key problems in the process of designing software and hardware system is also discussed.The simulation results have proved that the system is satisfied with the practical requirements of distance ,time and fare counting ,storing ,examining ,accu 2mulating data ,reminding of the excessive speed ,guarding against driver cheating ,and the print ,speech and display f https://www.360docs.net/doc/d26717759.html,pared with the existing system ,this system has stronger f unction ,such as reminding of the excessive speed and so on. K eywords :taximeter ;multi 2f unction ;A T89C52;software/hardware design 收稿日期:2008202217 基金项目:湖南省教育厅科研基金资助项目[06C219] 1 引 言出租车计价器是出租车营运收费的专用智能化仪 表,随着电子技术的发展,出租车计价器技术也在不断进步和提高。国内出租车计价器已经经历了4个阶段的发展。从传统的全部由机械元器件组成的机械式,到半电子式即用电子线路代替部分机械元器件的出租车计价器;再从集成电路式到目前的单片机系统设计的出租车计价器。出租车计价器计费是否准确、出租车司机是否超速才是乘客最关心的问题,而计价器营运数据的管理是否方便才是出租车司机最关注的。因此怎样设计出一种既能有效防止司机作弊和超速又能方便司机的计价器尤为重要。 因此,本文以单片机A T89S52为核心设计一款多功能出租车计价器,该计价器能实现里程计价与显示、数据存储与查询、时钟显示、超速提醒、语音播报和票据打印等功能。它比市场上的一些计价器使用更方便,功能更全,还具有有效防止司机作弊和系统稳定性好的优点。其中的超速提醒功能可以提醒司机和乘客,以告诫司机不要超速行驶,以避免交通事故的发生。 2 系统硬件结构及功能特性2.1 整体方案 整体方案设计方框图如图1所示,整个系统由单片机A T89S52控制电路、A44E 霍尔传感器电路、A T24C02存储电路、DS1302时钟电路、ISD2560语音播报电路、票据打印电路、按键调整电路、MA X7219驱动显示电路及电源电路组成 。 图1 整体方案设计方框图 单片机采集并判断空车灯信号及路程检测传感器信号,当出租车启动时,单片机检测到霍尔传感器的脉 冲信号并进行里程计算。当无乘客时,单片机调用实时时间芯片DS1302程序和MAX7219串口显示驱动程 81元器件与应用肖卫初等:基于单片机的多功能出租车计价器的设计

电子设计资料-出租车计价器-程序

#include #define uchar unsigned char sbit P3_4=P3^4; //code uchar tab[10]={0x40,0x79,0x24,0x30,0x19,0x12,0x02,0x78,0x00,0x10,}; //code uchar tab[10]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,}; code uchar tab[10]={0xbf,0x86,0xdb,0xcf,0x66,0xed,0xfd,0x87,0xff,0xef,}; uchar sz[4]; int qw,bw,sw,gw,a,b,c; void disp(void) { for(c=3;c>=0;c--) { SBUF=tab[sz[c]]; while(!TI); TI=0; } } void scjs(void)interrupt 3 { TH1=0X3C; TL1=0xB0; a=a+1; if(a==2) { a=0; P3_4=!P3_4; if(P3_4==0) { b=TH0*256+TL0; ///yw=sz[0]=b/100000; //ww=sz[0]=b/10000%10; qw=sz[0]=b/1000%10; bw=sz[1]=b/100%10; sw=sz[2]=b/10%10; gw=sz[3]=b%10; disp(); } } } main() {

IE=0X88; TH1=0X3C; TL1=0XB0; TR0=1; TR1=1; a=0; while(1); } 2 #include #define uchar unsigned char sbit P3_4=P3^4; //code uchar tab[10]={0x40,0x79,0x24,0x30,0x19,0x12,0x02,0x78,0x00,0x10,}; //code uchar tab[10]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,}; code uchar tab[10]={0xbf,0x06,0xdb,0xcf,0x66,0xed,0xfd,0x87,0xff,0xef,}; uchar sz[6]; int yw,ww,qw,bw,sw,gw,a,b,c,d,e,f; void disp(void) { for(c=5;c>=0;c--) { SBUF=tab[sz[c]]; while(!TI); TI=0; } } void scjs(void)interrupt 3 { TH1=0X3C; TL1=0xB0; a=a+1; if(a==2) { a=0; P3_4=!P3_4; if(P3_4==0) { b=TH0*256+TL0;

出租车自动计费器设计(课程设计报告模板)

目录 1 绪论 (1) 1.1设计背景 (1) 1.2QUARTUS II简介 (1) 1.3VHDL语言基础 (2) 2 出租车计费器总体设计结构 (2) 2.1系统设计要求和目的 (2) 2.2.1 系统设计要求 (2) 2.2.1 系统设计目的 (2) 2.2设计思路 (3) 2.3系统总体结构 (3) 2.4出租车计费器系统工作流程图 (4) 3 出租车计费器的实现 (5) 3.1出租车计费器的顶层原理图 (5) 3.2系统各功能模块的实现 (5) 3.2.1 计费模块JIFEI (5) 3.2.2 计量模块JILIANG (6) 3.2.3 显示控制模块SELTIME (7) 3.2.4 显示模块DELED (7) 4 出租车计费器系统仿真及分析 (8) 4.1计费系统的仿真 (8) 4.2单元模块的仿真及分析 (10) 4.2.1 译码显示模块的仿真及分析 (10) 4.2.2 显示控制模块的仿真及分析 (12) 4.2.3 计量模块的仿真及分析 (13) 4.2.4 计费模块的仿真及分析 (13) 5 锁定管脚及硬件实现 (14) 5.1锁定管脚图 (14) 5.2硬件实现 (14) 5.2.1 显示结果的几种情况 (15) 5.2.2 硬件实现总结 (16) 6 设计体会与总结 (17) 参考文献 (18) 附录 (19) 1JILIANG模块的VHDL编程 (19) 2JIFEI模块的VHDL编程 (21) 3SELTIME控制模块的VHDL编程 (22) 4DELED模块的VHDL编程 (23)

1 绪论 1.1 设计背景 随着我国社会经济的全面发展,各大中小城市的出租车营运事业发展迅速,出租车已经成为人们日常出行选择较为普通的交通工具。于是,出租车行业以低价高质的服务给人们带来了出行的享受。但是总存在着买卖纠纷困扰着行业的发展。而在出租车行业中解决这一矛盾的最好方法就是改良计价器。汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具,它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是具有一定意义的。出租车计费器是出租车营运收费的专用智能化仪表,是出租车市场规范化,标准化以及减少司机与乘客之间发生纠纷的重要设备。一种功能完备,简单易用,计量准确的出租车计费器是加强出租车行业管理,提高服务质量的必需品。本设计就是采用VHDL硬件描述语言作为设计手段,采用自己的设计思路,得到一种出租车计价系统的软件结构,通过Quartus II 6.0软件下进行仿真,证明所设计的电路系统完成了出租车计价的功能,各项指标符合设计要求,具有一定的实用性。 1.2 QUARTUS II简介 QUARTUS II 是Altera公司的综合性CPLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整CPLD设计流程。QUARTUS II 支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。Altera QUARTUS II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。

出租车计价器控制电路的设计方案

数字电子课程设计 课题名称出租车计价器控制电路的设计 所在院系机电学院 班级07自动化<2)班 学号200710320227 姓名龚涛 指导老师张玲 时间2009-12-27

景德镇陶瓷学院 数字电子课程设计任务书 班级:07自动化<2)班姓名:龚涛指导老师:张玲

教研室主任签字:年月日 目录 0.前言4 1.设计要求及原理4 1.1 设计要求4 1.2 基本原理4 2.各单元设计说明5 2.1 单片机最小系统单元5 2.2 A44E霍尔传感器检测单元6 2.3 AT24C01存储单元7 2.4 键盘调整单元8 2.5 显示单元9 3程序设计9 3.1 系统主程序9 3.2 中断程序10 3.2.1 里程计数中断程序10

3.2.2 中途等待中断程序10 3.3 计算程序10 3.4 显示程序10 3.5 键盘程序10 4.0总电路图11 5.0原器件清单12 6.0参考文献12 0.前言 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。本设计采用AT89S52单片机为主控器,以A44E霍尔传感器测距,实现对出租车的多功能的计价设计,并采用AT24C01实现在系统掉电的时候保存单价等信息,输出采用8段数码显示管。本电路设计的计价器不但能实现基本的计价,而且还能根据白天,黑夜和中途等待来调节单价。 1.设计要求及原理 1.1 设计要求

出租车自动计费器EDA设计

出租车自动计费器EDA设计 6.7.1 设计要求 设计一个出租车自动计费器,计费包括起步价、行车里程计费、等待时间计费三部分,用三位数码管显示金额,最大值为元,最小计价单元为元,行程 3公里内,且等待累计时间3分钟内,起步费为8元,超过3公里,以每公里元计费,等待时间单价为每分钟1元。用两位数码管显示总里程。最大为99公里,用两位数码管显示等待时间,最大值为59min。 6.7.2原理描述 根据层次化设计理论,该设计问题自顶向下可分为分频模块,控制模块计量模块、译码和动态扫描显示模块,其系统框图如图6-63所示,各模块功能如下: 图6-63出租车自动计费器系统框图 1分频模块 & 分频模块对频率为240Hz的输入脉冲进行分频,得到的频率为16Hz,10Hz和1Hz的三种频率。该模块产生频率信号用于计费,每个1HZ脉冲为元计费控制,10HZ信号为1元的计费控制,16Hz信号为元计费控制。 2 计量控制模块 计量控制模块是出租车自动计费器系统的主体部分,该模块主要完成等待计时功能、计价功能、计程功能,同时产生3分种的等待计时使能控制信号en1, 行程 3公里外的使能控制信号en0。其中计价功能主要完成的任务是:行程 3公里内,且等待累计时间3分钟内,起步费为8元;3公里外以每公里元计费,等待累计时间3分钟外以每分钟1元计费;计时功能主要完成的任务是:计算乘客的等待累计时间,计时器的量程为59分,满量程自动归零;计程功能主要完成的任务是:计算乘客所行驶的公里数。计程器的量程为99公里,满量程自动归零。 3 译码显示模块 该模块经过8选1选择器将计费数据(4位BCD码)、计时数据(2位BCD码)、计程数据(2位BCD码)动态选择输出。其中计费数据jifei4~ jifei1~送入显示译码模块进行译码,最后送至百元、十元、元、角为单位对应的数码管上显示,最大显示为元;计时数据送入显示译码模块进行译码,最后送至分为单位对应的数码管上显示,最大显示为59秒;计程数据送入显示译码模块进行译码,最后送至以公里为单位的数码管上显示,最大显示为99公里。

最新出租车计价器设计

出租车计价器设计

综合实验 ——出租车计价器设计 前言 随着微电子技术的发展,现代电子技术的核心已日趋转向基于计算机的电子设计自动化技术,即EDA(Electronic Design Automation)技术。EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现,这是电子设计技术的一个巨大进步。 本学期,学校开设了EDA和VHDL课程的学习,而学习一门编程语言,最好的方法莫过于在一个完整的系统开发过程中进行。因此,EDA综合实验就是一个最好的学习实践机会。 随着我国社会经济的全面发展,各大中小城市的出租车营运事业发展迅速,出租车已经成为人们日常出行选择较为普遍的交通工具。出租车计价器是出租车营运收费的专用智能化仪表是出租车市场规范化、标准化以及减少司机与乘客之间发生纠纷的重要设备。一种功能完备、简单易用、计量准确的出租车计价器是加强出租车行业管理、提高服务质量的必备品。本实验就是采用VHDL硬件描述语言作为设计手段,采用自顶向下的设计思路,得到一种出租车计价系统的软件结构,通过在 Quartus II软件下进行模拟仿真,并进行相应的硬件下载调试,证明所设计的电路系统完成了出租车计价器的功能,各技术指标符合预定标准,具有一定实用性。

C语言出租车计价器课程设计

出租车计价器课程设计目录 前言 1、系统工作原理 1.1 功能说明 1.2 基本原理 2、硬件设计 2.1 单片机最小系统单元 2.2 A44E霍尔传感器检测单元 2.3 AT24C01存储单元 2.4 键盘调整单元 2.5 显示单元 3、软件设计 3.1 系统主程序 3.2 中断程序 3.2.1 里程计数中断程序 3.2.2 中途等待中断程序

3.3 计算程序 3.4 显示程序 3.5 键盘程序 4、总结 参考文献 附录A 系统原理图 附录B 系统源程序 前言 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。本设计采用AT89S52单片机为主控器,以A44E霍尔传感器测距,实现对出租车的多功能的计价设计,并采用AT24C01实现在系统掉电的时候保存单价等信息,输出采用8段数码显示管。本电路设计的计价器不但能实现基本的计价,而且还能根据白天,黑夜和中途等待来调节单价。 第一章系统工作原理

1.1 功能说明 出租车计价器根据乘客乘坐汽车行驶距离和等候时间的多少进行计价,并在行程中同步显示车费值。从起步价开始,当汽车程行驶未满3公里时,均按起步价计算。过3公里后,实现每1公里单价收费,中间遇暂停时,计程数不再增加,开始计时收费,测距收费和测时收费的和便构成了一位乘客的车费。同时,白天和夜晚价格不同,可以进行切换。白天单价、夜晚单价、等待单价和起步价格都可通过独立键盘进行调节。(默认起步价为5元/3公里,里程单价白天为1.5元/公里,夜晚为1.8元/公里,等待计时单价为0.5元/5分钟) 1.2 基本原理 计数器系统主要由五部分组成:A44E霍尔传感器、AT89S52单片机、独立键盘、EEPROM AT24C01和显示数码管。 霍尔传感器安装在车轮上,主要检测汽车行进的公里数,并产生一系列相应的脉冲输出,脉冲送到单片机进行处理,单片机根据程序设定通过计算脉冲数换算出行驶公里数,再根据从EEPROM中读取的价格等相关数据进行金额的计算,计算好的金额、里程和单价都实时地显示在数码管上。独立键盘可以调节价格等相关数据,按下相应的按钮,产生信号交由单片机处理并实时显示出来,调节好的数据存储到EEPROM中,掉电后可以使调好的数据不丢失,下次得电后直接从EEPROM读到单片机,系统结构图如图1。

出租车自动计费器EDA设计

6.7出租车自动计费器EDA设计 6.7.1 设计要求 设计一个出租车自动计费器,计费包括起步价、行车里程计费、等待时间计费三部分,用三位数码管显示金额,最大值为999.9元,最小计价单元为0.1元,行程3公里内,且等待累计时间3分钟内,起步费为8元,超过3公里,以每公里1.6元计费,等待时间单价为每分钟1元。用两位数码管显示总里程。最大为99公里,用两位数码管显示等待时间,最大值为59min。 6.7.2原理描述 根据层次化设计理论,该设计问题自顶向下可分为分频模块,控制模块计量模块、译码和动态扫描显示模块,其系统框图如图6-63所示,各模块功能如下: 图6-63出租车自动计费器系统框图 1分频模块 分频模块对频率为240Hz的输入脉冲进行分频,得到的频率为16Hz,10Hz和1Hz的三种频率。该模块产生频率信号用于计费,每个1HZ脉冲为0.1元计费控制,10HZ信号为1元的计费控制,16Hz信号为1.6元计费控制。 2 计量控制模块 计量控制模块是出租车自动计费器系统的主体部分,该模块主要完成等待计时功能、计价功能、计程功能,同时产生3分种的等待计时使能控制信号en1, 行程 3公里外的使能控制信号en0。其中计价功能主要完成的任务是:行程 3公里内,且等待累计时间3分钟内,起步费为8元;3公里外以每公里1.6元计费,等待累计时间3分钟外以每分钟1元计费;计时功能主要完成的任务是:计算乘客的等待累计时间,计时器的量程为59分,满量程自动归零;计程功能主要完成的任务是:计算乘客所行驶的公里数。计程器的量程为99公里,满量程自动归零。 3 译码显示模块 该模块经过8选1选择器将计费数据(4位BCD码)、计时数据(2位BCD码)、计程数据(2位BCD码)动态选择输出。其中计费数据jifei4~ jifei1~送入显示译码模块进行译码,最后送至百元、十元、元、角为单位对应的数码管上显示,最大显示为999.9元;计时数据送入显示译码模块进行译码,最后送至分为单位对应的数码管上显示,最大显示为59秒;计程数据送入显示译码模块进行译码,最后送至以公里为单位的数码管上显示,最大显示为99公里。

出租车计价器控制系统电路设计

嵌入式系统设计题目:出租车计价器控制系统电路设计 学号: 姓名:深蓝

新型出租车计价器控制电路的设计 第1章绪论 1.1 背景及意义 进入21世纪的今天,科技高速发展。同样,出租车行业也在发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。 我们知道,只要乘坐的出租车启动,随着行驶里程的增加,就会看到司机旁边的计价器里程数字显示的读数从零逐渐增大,而当行驶到某一值时(如2KM)计费数字显示开始从起步价(如¥:6元)增加。当乘客到站时,按下停止按键,计费数字显示总里程和总金额,它可以很直观的反映用户使用情况。 在出租车是城市交通的重要组成部分,行业健康和发展也获得越来越多的关注。汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的,因此,汽车计价器的研究也是十分有一个应用价值的。 通过本次设计,可以增进对单片机的感性认识,加深对其理论方面的理解,掌握单片机的内部功能模块的应用,了解掌握单片机的软硬件设计过程、方法及实现。 1.2设计要求 主要的外围功能电路有:驱动电路,按键控制电路,掉电保护电路,时钟部分,数码管显示电路等。 通过对以上各功能的设计,制作出的出租车计价器应具有以下功能: 1)上电时显示全为零,通过按下启动按键来开始计价,数码管开始显示起步价和起 步金额; 2)按下模拟开关按键来产生一个脉冲信号,模拟行驶的里程; 3)数码管开始显示所走里程和所应付的金额,并逐渐增加; 4)按下停止按键,停止计价,数码管显示所走总里程和用户所需付总金额,按下清 零按键,数码管全显示零,以备下次计价。

出租车计价器设计与实现.

西安电子科技大学 单片机电路设计报告 设计题目:出租车计价器设计与实现 系部计算机系 专业计算机科学与技术 班级030914 学生姓名罗维、张长建、邓海霞

学号03091350 田玉敏指导教师 2011年11月24 日 1.前言 本文介绍了出租车计价器系统在实际生产生活中的重要性,介绍一种以单片机STC89C52 为核心的出租车计价器的设计,阐述硬件设计过程中关键技术的处理。 在出租车是城市交通的重要组成部分,行业健康和发展也获得越来越多的关注。汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有一个应用价值的。 本设计采用STC89C52单片机为主控器,实现对出租车的计价设计,输出采8段数码显示管,74LS245芯片和74LS138芯片驱动。本电路设计的计价器不但能实现基本的计价,而且还能根据白天,黑夜来调节单价,同时具有计时功能。

2.系统整体设计 设计要求 设计一款基于STC89C52单片机的出租车计价器 基本功能(1)根据输入的模拟脉冲实现同步计费,起步价设为7 元,每公里费用 初始设为2元 (2)能够根据白天/晚上切换单价 (3)具有清零功能 (4)八位数码管动态显示(计费模式下4位显示路程,4位显示费用) (5)具有计时功能 (6)能够在计时模式和计费模式之间切换 (7)按键要求:白天/晚上切换、中断输入、清零、计时模式/计费模式切换 系统功能 本次设计的出租车计价器的主要功能有:金额输出、里程输出、数据复位、白天/晚上单价转换、计时等。输出采用2个4位8段共阳极数码管。计费模式下前四位显示金额,后四位显示里程,计时模式下八位全用来显示时间。 设计方案 本设计是由硬件设计和软件设计两部分所组成。软件设计要进行程序的编写和软件仿真;硬件设计要进行原理图设计,PCB设计,制作电路板和硬件调试等

基于51单片机的出租车计价器带仿真及程序

引言 现在各个城市出租车行业都已普及,因此出租车计价器的技术已经成熟,但是出租车计价器的市场还具有广阔的前景。随着城市建设的日益完善,关乎城市面貌的出租车行业也将迅速发展,出租车计价器的的大批量需求也是毫无疑问的,所以未来出租车计价器的市场还是有相当大的潜力的。 本次出租车计价器设计以AT89S52单片机为中心,采用U18霍尔传感器对轮胎转数进行计数,实现对出租车里程的测量,并最终计算出结果。其中采用寄存器芯片AT24C02使系统在掉电的时候对单价、里程、车轮长度等信息进行存储,采用时钟芯片DS1302来显示时间和在系统需要时进行计时。输出采用两个4段数码显示管,而且根据按键有空车指示灯、等待查询指示灯、单程指示灯进行指示。 汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是有一定的应用价值的。

1 绪论 1.1 出租车计价器概述 我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。随着改革开放日益深入,出租车行业的发展势头已十分突出,国内各机械厂家纷纷推出国产计价器。出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打一发票和语音提示、按时间自主变动单价等功能。随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。 本次设计的目的在于现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车行业也将加速发展,计价器的普及也是毫无疑问的,所以未来汽车计价器的市场还是十分有潜力的。 1.2 单片机的概述 计算机系统已明显地朝巨型化、单片化、网络化三个方向发展。巨型化发展的目的在于不断提高计算机的运算速度和处理能力,以解决复杂系统计算和高速数据处理,比如系统仿真和模拟、实时运算和处理。单片化是把计算机系统尽可能集成在一块半导体芯片上,其目的在于计算机微型化和提高系统的可靠性,这种单片计算简称单片机。单片机的内部硬件结构和指令系统主要是针对自动控制应用而设计的所以单片机又称微控制器MCU(Micro Controller Unit)。用它可以很容易地将计算机嵌入到各种仪器和现场控制设备中,因此单片机又叫做嵌入式微控制器(Embedded MCU)。单片机自20世纪70年代问世以来,以其鲜明的特点得到迅猛发展,已广泛应用于家用电器、智能玩具、智能仪器仪表、工业控制、航空航天等领域,经过30多年的发展,性能不断提高,品种不断丰富,已经形成自动控制的一支中坚力量。据统计,我国的单片机年容量已达1~3亿片,且每年以大约16%的速度增长,但相对于国际市场我国的占有率还不到1%。这说明单片机应用在我国有着广阔的前景。对于从事自动控制的技术人员来讲,

相关文档
最新文档