数字电子钟课程设计报告 (2)
数电设计数字钟基于QUARTUS完整版

数电设计数字钟基于Q U A R T U SHEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】大连理工大学本科实验报告题目:数电课设——多功能数字钟课程名称:数字电路课程设计学院(系):电信学部专业:电子与通信工程班级:学生姓名: ***************学号:***************完成日期:成绩:2010 年 12 月 17 日题目:多功能数字时钟一.设计要求1)具有‘时’、‘分’、‘秒’的十进制数字显示(小时从00~23)2)具有手动校时校分功能3)具有整点报时功能,从59分50秒起,每隔2秒钟提示一次4)具有秒表显示、计时功能(精确至百分之一秒),可一键清零5)具有手动定时,及闹钟功能,LED灯持续提醒一分钟6)具有倒计时功能,可手动设定倒计时范围,倒计时停止时有灯光提示,可一键清零二.设计分析及系统方案设计1. 数字钟的基本功能部分,包括时、分、秒的显示,手动调时,以及整点报时部分。
基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。
利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。
将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。
进入手动调时功能时,通过按键改变控制计数器的时钟周期,使用的时钟脉冲进行调时计数(KEY1调秒,LOAD2调分,LOAD3调时),并通过译码器由七位数码从59分50秒开始,数字钟进入整点报时功能。
每隔两秒提示一次。
(本设计中以两个LED灯代替蜂鸣器,进行报时)2. 多功能数字钟的秒表功能部分,计时范围从00分秒至59分秒。
可由输入信号(RST1)异步清零,并由按键(EN1)控制计时开始与停止。
将DE2硬件中的50MHZ晶振经过分频获得周期为秒的时钟脉冲,将信号送入计数器进行计算,并把累计结果通过译码器由七位数码管显示。
单片机课程设计数字钟实验报告

单片机课程设计:电子钟一、实现功能1、能够实现准确计时,以数字形式显示时、分、秒的时间。
2、小时以24小时计时形式,分秒计时为60进位,能够调节时钟时间。
3、闹钟功能,一旦走时到该时间,能以声或光的形式告警提示。
4、能够实现按键启动与停止功能。
5、能够实现整点报时功能。
6、能够实现秒表功能。
二、设计思路1、芯片介绍VCC:电源。
GND:接地。
P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL 门电流。
当P1口的管脚第一次写1时,被定义为高阻输入。
P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。
在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。
P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。
P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。
在FLASH编程和校验时,P1口作为第八位地址接收。
P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。
并因此作为输入时,P2口的管脚被外部拉低,将输出电流。
这是由于内部上拉的缘故。
P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。
在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。
P2口在FLASH编程和校验时接收高八位地址信号和控制信号。
P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。
当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。
作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。
P3口也可作为AT89C51的一些特殊功能口,如下表所示:口管脚备选功能P3.0 RXD(串行输入口)P3.1 TXD(串行输出口)P3.2 /INT0(外部中断0)P3.3 /INT1(外部中断1)P3.4 T0(记时器0外部输入)P3.5 T1(记时器1外部输入)P3.6 /WR(外部数据存储器写选通)P3.7 /RD(外部数据存储器读选通)P3口同时为闪烁编程和编程校验接收一些控制信号。
8086数字电子钟的设计 附程序代码

附件3课程设计(综合实验)报告( 2011 -- 2012 年度第 1 学期)名称:硬件课程与实践题目:8086数字电子钟的设计院系:班级:学号:学生姓名:指导教师:设计周数:2周成绩:日期:2011年09月01日一、课程设计的目的与要求1. 综合运用学过的相关软、硬件知识,利用伟福LAB6000实验设备,设计具有实用功能的电子钟,实现电子时钟的准确运行、校时等功能。
2.熟悉伟福LAB6000的功能,掌握数码管设计和键盘设计的要领及相关技术,能够利用实验设备实现电子钟系统的设计。
3.提高自己的硬件设计分析能力,同时培养软硬结合的系统设计思维,从而提高设计系统的可行性和准确性。
二、课程设计正文A.设计题目:8086数字电子钟的设计:1.设计并完成LED七段数码管数字钟电路。
2.数字钟显示格式为:HH:MM:SS。
3.具有通过键盘能够调整时、分、秒及设置闹铃的功能。
4.具有暂停时间及复位功能。
B.设计方案本设计采用LAB6000伟福仿真实验箱,利用4MHz脉冲信号源和多级分频电路产生脉冲信号,4MHz脉冲信号经过F/64分频后得到62.5KHz脉冲信号,将脉冲信号传递给8253定时器,定时器每0.000016秒中断一次,在中断服务程序中对中断次数进行计数,0.000016秒计数62500次就是1秒,然后在中断服务程序中对秒计数得到分和小时值并判断闹铃是否到时。
编写键盘扫描和LED显示程序完成设置时间、定闹铃及数码管显示功能。
C.硬件原理1.七段数码管显示和键盘扫描显示图1.1七段数码管图1.2伟福实验台六位LED的电路图及寻址空间实验箱提供了6位八段数码LED显示电路,只要按地址输出相应数据,就可以实现对显示器的控制。
将KEY/LED CS接到CS0上,则实验箱中八位段码输出地址为08004H,位码输出地址为08002H。
实验箱提供了一个6×4的小键盘,将KEY/LED CS信号接到CS0上,则列扫描地址为08002H,行码地址为08001H。
数字电子钟(计时、校时以及整点报时)数电课程设计报告讲解

公安技术学院课程设计报告课程数字电子技术题目数字电子钟(计时、校时以及整点报时)数电课程设计报告年级专业学号学生任课教师2014 年12 月29 日目录一、引言 (1)二、方案论证选择 (2)2.1 设计要求 (2)2.2 系统框图 (2)2.3 设计过程 (2)三、电路仿真与设计 (3)3.1所需芯片及芯片管脚图 (3)3.2时、分、秒显示电路模块设计 (4)3.3校时电路模块设计 (7)3.4报时电路模块设计 (7)3.5综合电路 (9)四、电路调试及实物照片 (9)4.1电路调试 (9)4.2实物照片 (10)五、存在的问题 (11)六、课程设计心得体会 (11)附录:元件清单参考资料一、引言目前市场上提供的无论是机械钟还是石英钟在晚上无照明的情况下都是不可见的。
要知道当前的时间,必须先开灯,故较为不便。
现在市场上出现了这样一类的电子钟,它以六只LED数码管来显示时分秒,与传统的以指针显示秒的方式不同,违背了人们传统的习惯与理念,而且这类电子钟一般是采用大型显示器件,适用于银行、车站等公共场所。
这种新型的电子钟因其方便、直观的特点也得到了社会的欢迎,在社会上占有相当一部分市场。
数字电子钟是日常生活中常见的一种工具,大到机场等公共场所的时间屏幕,小到我们的手表、闹钟等,而且其报时功能也给人们提供了方便,因此,了解报时电子钟的工作原理是很有必要的,也很有趣,因此我选择了这个题目——整点报时数字钟。
数字电子技术课程的核心内容是时序逻辑电路、组合逻辑电路和触发器,这些也是我们学电子的学生最基本要掌握的知识,通过实践可以加深对课本知识的理解,能够处理一些实际中的情况,因此这次数电课程设计,我选择了数字电子钟这个题目,虽然这在日常生活中很常见,看起来也比较简单,但是其中包含的学问很多。
在这个项目中,校时是一个很重要的模块,既要可以正常校时,又不能干扰到时间计数显示模块,而时间显示比较简单,用熟悉的芯片就可以做出来了,老师说过,对芯片等元器件的了解程度等于将军手中可以调动的兵力,掌握了芯片功能,也就掌握了主动权。
数字钟课程设计

如果说有那么一丝渺茫的希望,在哪里? 换个思路。不做表, 把钟???
潜在客户是那 些??
八、演示的最后
一、设计任务 钟表的数字化给人们生产生活带来了极大的方便, 而且大大地扩展了钟表原先的报时功能。诸如,定时报 警、按时自动打铃、时间程序自动控制、定时广播、定 时启闭路灯、定时开关烘箱、通断动力设备,甚至各种 定时电气的自动启动等,所有这些,都是以钟表数字化 为基础的。 要求设计一个数字钟,以此: 1.了解数字钟的设计、组装与调试方法; 2.熟悉集成电路的使用方法。
555计时器的内部结构图
A
∞
A
∞
五、原理图的设计
多谢振荡器的模拟电路图
五、原理图的设计
2.2 计数器模块原理 在数字钟的控制电路中,分和秒的控制都是一样的,都是由 一个十进制计数 器和一个六进制计数器串联而成的,在电路的设 计中我采用的是统一的器件 74LS161N 的反馈置数法来实现十进制 功能和六进制功能,根据74LS161 的结构 把输出端的0101 (十进 制为5)用一个与非门74LS00 引到Load 端便可置0,这 样就实现了 六进制计数。同样,在输出端的1001 (十进制为9)用一个与非门 74LS00 引到Load 端便可置0,这样就实现了十进制计数。在分和秒 的进位时, 用秒计数器的Load 端接分计数器的CLK控制时钟脉冲, 脉冲在上升沿来时计数 器开始计数。时计数器可由两个十进制计 数器串接并通过反馈接成二十四制计数器。
显示器组
计时模块
显示 切换
闹钟模块
五、原理图的设计
显示切换仿真图:
五、原理图的设计
5.1 发声部分原理 本设计的发声部分有两部分:整点报时和闹铃 两者都采用简单而实用的蜂鸣器,简化电路。
单片机电子时钟课程设计设计报告

单片机电子时钟设计一、作品功能介绍该作品是个性化电子钟设计,技术上主要用单片机(AT89S52)主控,6位LED数码显示,分别显示“小时:分钟:秒”。
该作品主要用于24小时计时显示,能整时报时,能作为秒表使用,能定时闹铃1分钟。
功能介绍:(1)上电以后自动进入计时状态,起始于00:00:00。
(2)设计键盘调整时间,完成时间设计,并设置闹钟。
(3)定时时间为1/100秒,可采用定时器实现。
(4)采用LED数码管显示,时、分,秒采用数字显示。
(5)采用24小时制,具有方便的时间调校功能。
(6)具有时钟和秒表的切换功能。
使用方法:开机后时钟在00:00:00起开始计时。
(1)长按进入调分状态:分单元闪烁,按加1,按减1.再长按进入时调整状态,时单元闪烁,加减调整同调分.按长按退出调整状态。
(2)(2)按进入设定闹时状态: 12:00: ,可进行分设定,按分加1,再按为时调整,按时加1,按调闹钟结束.在闹铃时可按停闹,不按闹铃1分钟。
(3)按下进入秒表状态:再按秒表又启动,按暂停,再按秒表清零,按退出秒表回到时钟状态。
二、电路原理图如原理图所示,硬件系统主要由单片机最小应用系统、LED数码管显示模块、电源模块、晶振模块、按键模块等组成。
电子时钟原理图各个模块设计1.单片机系统 AT89S52 AT89S52概述:是一款非常适合单片机初学者学习的单片机,它完全兼容传统的8051,8031的指令系统,他的运行速度要比8051快最高支持达33MHz的晶体震荡器,在此系统中使用12MHz的晶振。
AT89S52具有以下标准功能: 8k字节Flash,256字节RAM,32 位I/O 口线,看门狗定时器,2 个数据指针,三个16 位定时器/计数器,一个6向量2级中断结构,全双工串行口,片内晶振及时钟电路。
另外,AT89S52 可降至0Hz 静态逻辑操作,支持2种软件可选择节电模式。
空闲模式下,CPU停止工作,允许RAM、定时器/计数器、串口、中断继续工作。
电子技术课程设计-数字钟的设计与调试(仿真)
电子与电气工程学院课程设计报告课程名称课程设计设计题目数字钟的设计与调试(仿真)专业名称电子科学与技术班级132班学号2013210788学生姓名指导教师2013年6 月1日电气学院电子技术课程设计任务书设计名称:数字时钟的设计与调试(仿真)学生姓名:指导教师:起止时间:自2015 年5 月26 日起至2015 年6 月7 日止一、课程设计目的(1)掌握同步十进制集成计数器74160的功能。
(2)学习用同步十进制集成计数器构成任意计数器的方法。
二、课程设计任务和基本要求设计任务:(1)以WEB数字集成电路库中的74160为主要器件,设计一个数字显示电子时钟,要求如下:①有时、分、秒计数功能,以24小时循环。
②用六只数码管分别显示时、分、秒的十位和个位。
③具有清零功能。
④用信号源库中的时钟源做计数秒信号。
(2)根据设计结果创建实验电路。
(3)仿真,调试。
基本要求:(1)简述总体方案,画出总体逻辑框图。
(2)设计时、分、秒单元电路。
(3)依据仿真结果,总结实验体会。
电气学院电子技术课程设计指导老师评价表院(部)电气学院年级专业电子科学与技术学生姓名李明学生学号2013210788 题目数字时钟的设计与调试(仿真)一、指导老师评语指导老师签名:年月日二、成绩评定指导老师签名:年月日目录摘要与关键词............................................................................................... 错误!未定义书签。
1 设计的目的及任务 (2)1.1 课程设计的目的 (2)1.2 课程设计的任务与要求 (2)1.2.1设计要求 (2)1.2.2内容要求 (2)2 电路设计总方案及原理框图 (2)2.1数字电子钟基本原理 (2)2.2 原理框图......................................................................................... 错误!未定义书签。
多功能数字电子钟_VHDL
四、各功能模块的源程序代码 :
-- CONTOR 模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity contor is
1 )“小时” 校时状态: 进入“小时”校时状态后,显示 “小时” 的数码管闪烁,每按动“ k” 键一次,“小时” +1,若不按动“ k”键 则小时数不变,一直按下“ k” 键则小时数一 4Hz 的频率递增计数。
2 )“分”校时状态:进入“分”校时状态后,显示“分”的数 码管闪烁,每按动“ k” 键一次,“分” +1,若不按动“ k”键则分数 不变,一直按下“ k” 键则分数一 4Hz的频率递增计数。
chs,cms,css,f4 :in std_logic; bsg,bmg,bhg,bsd,bmd,bhd :buffer std_logic_vector(3 downto 0); comout :out std_logic); end time_com; architecture time_comx of time_com is begin com:process(hh,mh,sh,hl,ml) begin if(bhg=hh and bhd=hl and bmg=mh and bmd=ml and bsg=sh)then comout<='1'; else comout<='0'; end if; end process; set:process(f4) begin if(f4'event and f4='1')then if(chs='1'and k='0')then if(bhg="0010" and bhd="0011")then bhd<="0000";bhg<="0000"; elsif(bhd="1001")then bhd<="0000";bhg<=bhg+1; elsif(bhd="0000"or bhd="0001" or bhd="0010"or bhd="0011"or bhd="0100"or bhd="0101"or bhd="0110"or bhd="0111"or bhd="1000")then bhd<=bhd+1; end if; end if; end if; end process; process(f4) begin if(f4'event and f4='1')then if(cms='1'and k='0')then if(bmg="0101" and bmd="1001")then
数字电子钟课程设计
数字电路》课程设计 总结报告题目:数字电子钟指导教师: 设计人员: 联系方式: 学号:2010 年12月27 日——2010 年12 月31日班级: 电子 1081 班日一、设计内容、设计要求及设计的总框图二、完成单元电路的设计及参数计算、元器件的选择及原理说明三、分析仿真调试过程中的结果、对出现的问题如何解决四、本次课程设计的收获体会、存在问题和进一步的改进意见等五、附录(包括:整机逻辑电路图、元器件清单)六、参考资料及文献设计内容、设计要求及设计的总框图设计内容和要求:设计一个数字电子钟1时钟显示功能,能够十进制显示“时”、“分”、“秒”;2、时计数器采用24进制,从00开始到23回到00,分和秒计数器采用60进制,从00开始到59回到00;3、具有整点报时功能;4、具有快速校准时间的功能,可以分别对时、分、秒进行单独校时,使其校正到标准时间总框图:脉冲产生电路1HZ.单元电路的设计及参数计算、元器件的选择及原理说明1脉冲产生电路的设计555定时器555定时器主要是通过外接电阻R和电容器C构成充、放电电路,并由两个比较器来检测电容上的电压,以确定输出电平的高低和放电开关管的通断,这就很方便地构成从微妙到数十分钟的延时电路,以及多谐振荡器、单稳态触发器、施密特触发器等脉冲波形产生和整形电路。
用555定时器构成多谐振荡器电路如下图(a)所示。
电路没有稳态,只有两个暂稳态,也不需要外加触发信号,利用电源Vcc通过R1和R2向电容器C充电,使Uc逐渐升高,升到2Vcc/3 时,Uo跳变到低电平,放电端D导通,这时,电容器C通过电阻R2和D端放电,使Uc下降,降到Vcc/3时,Uo跳变到高电平,D端截止,电源Vcc又通过R1和R2向电容器C充电。
如此循环,振荡不停,电容C在Vcc/3和2Vcc/3之间充电放电,输出连续的矩形脉冲,如图(b)所示(a)fb)输出信号Uo的脉宽twl、tw2,周期T的计算公式如下:t wl=O.7(Ri+R2)C叶2 =0_7Rj|CT = tw i + tw2=O.7(R1+ 2R j)C根据要求,该系统中要使555构成的多谐振荡器电路产生1HZ的脉冲,因此我们可以令R1=51K,R2=68K,C2=C=7639.4nF得到周期为1S,即按照图的电路可以产生1HZ的方波脉冲。
数电课程设计数字钟的设计
数电课程设计数字钟的设计数电课程设计。
数字钟的设计。
1仿真电路显示时,分,秒。
2采用二十四小时制或者十二小时制。
3具有校时功能。
可以对小时和分单独校时,对分校时的时候,停止分向小时进位。
校时时钟源可以手动输入或借用电路中的时钟。
4具有正点报时功能,正点前10秒开始,蜂鸣器一秒响一秒停地响五次。
5为了保证计时准确,稳定,由晶体振荡器提供标准时间的基准信号。
本科生课程设计题目课程专业班级学号姓名指导教师完成时间数电课程设计。
数字钟的设计。
1仿真电路显示时,分,秒。
2采用二十四小时制或者十二小时制。
3具有校时功能。
可以对小时和分单独校时,对分校时的时候,停止分向小时进位。
校时时钟源可以手动输入或借用电路中的时钟。
4具有正点报时功能,正点前10秒开始,蜂鸣器一秒响一秒停地响五次。
5为了保证计时准确,稳定,由晶体振荡器提供标准时间的基准信号。
目录1设计的目的及任务 (3)1.1课程设计的目的...............................................(3)1.2课程设计的任务与要求 (3)2电路设计总方案及原理框图 (3)2.1数字电子钟基本原理...........................................(3)2.2原理框图.. (4)3.单元电路设计及元件选择 (4)3.1六十进制计数器..................................................(4)3.2二十四进制计数器................................................(5)3.3显示屏..........................................................(6)3 .4校时电路.. (6)3.5报时电路 (7)4电路仿真 (8)4.1Multii................................................... ......(8)4.2数字钟总电路图..................................................(8)4.3仿真电路测试结果 (9)5电路实验结果.............................................(10)6收获与体会. (10)参考文献 (11)数电课程设计。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数字电子基础课程设计 ——数字电子钟
院系:计算机与信息工程学院 专业:通信工程 班级:通信一班 姓名: 学号: 指导老师: 任务书 一、 题目 数字电子钟的设计
二、 实验目的和要求 1、实验目的 ·掌握数字电子钟的设计、组装与调试方法; ·熟悉集成电路的使用方法。 ·进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 2、实验要求 ·设计一个有“时”、“分”、“秒”显示,且有校时功能的电子钟; ·用中小规模集成电路组成电子钟,并在实验箱上进行组装、调试; ·画出框图和逻辑电路图,写出设计、实验总结报告; ·选做:整点报时。在59分51秒、53秒、55秒、57秒输出500Hz音频信号,在59分59秒时输出1000Hz信号,音频持续1s,在1000Hz音频结束时刻为整点。
三、 主要内容及实现的功能 数字电子钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。本设计采用 74LS160、带有译码器的数码管和适当的门电路构成,可实现对时、分、秒等时间信息的采集和较时功能地实现。 设计一个数字计时器,可以完成 00:00:00 到 23:59:59 的计时功能,并在控制电路的作用下具有快速校时、快速校分功能。 能进行正常的时分秒计时功能。分另由六个数码管实现时分秒的计时。同时实现报时和闹钟的功能。 通过 Multisim10 软件平台,设计含小时,分钟,秒钟显示功能的数字时钟。
四、 主要参考资料 [ 1] 阎石。 数字电子技术基础[ M] 。 5 版。 北京: 高等教育出版社, 2006。 [ 2] 杨志忠。 电子技 术课程设计 [ M ] 。 北 京: 机械工 业出版社, 2008。 [ 3] Multisim 原理图输入,仿真与可编程逻辑 入门指导 报告单 一、 课题分析 随着科学技术的不断发展, 人们对时间计量的精度要求越来越高。高精度的计时工具大多数都使用了石英晶体振荡器,由于电子钟、石晶表、石英钟都采用了石英技术, 因此走时精确度高, 稳定性好, 使用方便, 不需要经常调校。数字电子钟是用数字集成电路构成并有数字显示特点的一种现代计数器, 与传统的机械计时器相比,它具有走时准、 显示直观、 无机械磨损等, 因而广泛应用于车站、 码头、 商店等公共场所。 目前, 数字电子钟的设计,主要是采用计数器等集成电路构成, 由于所用集成电路多,连线杂乱,不便阅读。本文采用层次电路设计,将各单元电路设计成层次电路,这样每个单元电路和整体电路连线一目了然, 既美观也便于阅读, 还有利于设计,因每一层次电路为一独立电路, 便于独立设计和修改。 数字式电子钟用集成电路计时时, 译码代替机械式传动, 用LED显示器代替指针显示进而显示时间, 减小了计时误差。这种表具有时、分、秒、显示时间的功能, 还可以进行时、分、秒的校对,片选的灵活性好。
二、 设计文档(包括真值表,逻辑式,电路图) 1、器材及主要器件 (1)74LS161 6片 (2)74LS248 6片 (3)74LS08D 2片 (4)74LS00D 6片 (5)共阴极七段显示器 6片 (6)蜂鸣器 1个 (7) 电阻、电容、导线等 若干
2、电子钟基本原理 数字电子钟主要分为数码显示器、60 进制和 24 进制计数器、频率振荡器和校时这几个部分。数字电子钟要完成显示需要6个数码管,八段的数码管需要译码器械才能显示,然后要实现时、分、秒的计时需要60进制计数器和24进制计数器,在仿真软件中发生信号可以用函数发生器仿真,频率可以随意调整。60进制可能由两片74LS161计数器串联置数而成,而小时的24 进制可以采用 74LS161置数端触发实现。频率振荡器可以由晶体振荡器分频来提供,也可以由 555定时器来产生脉冲并分频为 1HZ。主体思路如图2-2-1所示: 数字电子钟的逻辑框图2-2-1 3、单元电路的设计 3.1秒脉冲产生电路 振荡器由555定时器组成,构成1Khz的振荡器。图3-1-1中是由55定时器构成的1Khz的自激振荡器,其原理是: 0.7(2·R3+R4+R5)C4=1ms f=1/t=1Khz
图3-1-1NE555构成1Khz的电路 图3-1-2NE555构成的1Khz波形 3.2分频器 由于振荡器产生的频率很高,要得到秒脉冲,需要分屏电路。本实验由集成电路定时器555与RC组成的多谐振荡器,产生1KHz的脉冲信号。故采用3片中规模集成电路计数器74LS90来实现,得到需要的秒脉冲信号。如图3-2-1所示。 图3-2-1分频器 3.3计数器 秒脉冲信号经过6级计数器,分别得到“秒”个位、十位、“分”个位、十位以及“时”个位、十位的计时。“秒”“分”计数器为六十进制,小时为二十四进制。 3.3.1六十进制计数 由分频器来的秒脉冲信号,首先送到“秒”计数器进行累加计数,秒计数器应完成一分钟之内秒数目的累加,并达到 60秒时产生一个进位信号,所以,选用两片74LS161(功能图3-3-1)组成六十进制计数器,采用反馈归零的方法来实现六十进制计数。其中,“秒/分”十位是六进制,“秒/分”个位是十进制,如图3-3-2所示。
CP RD LD EP ET 工作状态
0 置零
上升沿 1 0 预置数 1 1 0 1 保持
1 1 0 保持
上升沿 1 1 1 1 计数 图3-3-1芯片74LS161的功能图 图3-3-2 3.3.2二十四进制计数 “24翻1”小时计数器是按照“01——02——03——„„——23——24——01——02——„„”规律计数的,这与日常生活中的计时规律相同。在此实验中,小时的个位和十位计数器均由4位二进制同步计数器74LS161构成,将它们级连组成“24翻1 ”小时计数器。 计数器的状态要发生两次跳跃:一是计数器计到9,即个位计数器的状态为QDQCQBQA=1001,在下一脉冲作用下计数器使个位异步置0,同时向十位计数器CLK端进1;二是计数器计到23后,在第 24个脉冲作用下个位计数器的状态应为 QDQCQBQA=0100,十位和个位均清零。 3.4 译码器和显示器 本系统用七段发光二极管来显示译码器输出的数字,显示器有两种:共阳极显示器或共阴极显示器。74LS248译码器对应的显示器是共阴极显示器。
图3-4-1译码器和显示器 3.5校时电路 当数字钟走时出现误差时,需要校正时间。校时电路实现对“时”“分”“秒”的校准。在电路中设有正常计时和校对位置。本实验实现“时”“分”的校对。对校时的要求是,在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。需要注意的时,校时电路是由与非门构成的组合逻辑电路,开关S1或S2为“0”或“1”时,可能会产生抖动,为防止这一情况的发生我在原本接校时脉冲的端口接到了实验装置的“单次脉冲”端口,这样既时限内了防抖动,又可以利用手动操作来完成校时。 3.6整点报时 电路应在整点前 10 秒钟内开始整点报时,即当时间在 59 分 50 秒到 59 分59 秒期间时,报时电路报时控制信号。 当时间在 59 分 50 秒到 59 分 59 秒期间时,分十位、分个位和秒十位均保持不变,分别为 5、9 和 5,因此可将分计数器十位的 QC 和 QA、个位的 QD 和 QA及秒计数器十位的 QC和 QA相与,从而产生报时控制信号。 报 时 电 路 可 选 74HC30 来 构成。74HC30 为8 输入与非门。
三、测试文档 1、1Hz脉冲产生电路仿真
图3-1 1Khz的脉冲波形 图3-2 1Hz的脉冲波形 2、60进制计数器计数仿真结果
图3-2 60进制计数器计数仿真电路 3、24进制计数器计数仿真结果 图3-3计数仿真电路 4、总体电路结果
图3-4-1 总体仿真结果开始图 图3-4-2总体仿真结果结束 总结 通过运用数字集成电路设计的24小时制的数字电子时钟,经过试验,成功实现了一下基本功能: 1、能准确计时,以数字形式显示时、分、秒的时间。 2、能实现整点报时的功能,并分别在59 分 50 秒到 59 分59 秒实现了报时效果。 3、能定时控制,且能惊醒校正时间(通过开关调时、分)。
通过这次数字电子钟的课程设计,我们才把学到的东西与实践相结合。从中对我们学的知识有了更进一步的理解,而且更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法。也锻炼了自己独立思考问题的能力和通过查看相关资料来解决问题的习惯。虽然这只是一次简单的课程设计,但通过这次课程设计我们了解了课程设计的一般步骤,和设计中应注意的问题。设计本身并不是有很重要的意义,而是同学们对待问题时的态度和处理事情的能力。各个芯片能够完成什么样的功能,使用芯片时应该注意那些要点。同一个电路可以用那些芯片实现,各个芯片实现同一个功能的区别。 另外,我还渐渐熟悉了mutisim这个仿真软件的各个功能,让我体会到了期中的乐趣,还在电脑制作文档的过程中,使我对办公软件有了更进一步的了解和掌握。