2013广州大学EDA实验指导书资料

2013广州大学EDA实验指导书资料
2013广州大学EDA实验指导书资料

实验指导(2013稿)

广州大学物理与电子工程学院电子系编

目录

实验1、2选1多路选择器的VerilogHDL设计 (3)

实验2、设计含异步清零和同步时钟使能的加法计数器 (3)

实验3、1位全加器原理图输入设计 (4)

实验4、7段数码显示译码器设计 (6)

实验5、数控分频器的VerilogHDL设计 (7)

实验6、2位十进制频率计原理图输入设计法 (7)

实验7、ADC0809的采样控制电路的实现 (9)

实验8、正弦信号发生器设计 (11)

实验9、用流水线技术设计高速数字相关器 (12)

实验10、循环冗余(CRC)模块设计 (14)

实验11、数字钟 (15)

实验12、用直接数字合成器(DDS)实现正弦波形发生器设计 (16)

实验1、2选1多路选择器的VerilogHDL设计

一、实验目的:

1、熟悉QuartusII的VerilogHDL文本设计流程全过程;

2、学习简单组合电路的设计、仿真和硬件测试。

二、实验步骤:

1、按照发给大家的文件“Quartus II 9.0基本设计流程-VerilogHDL.ppt”所讲述的步骤,利用QuartusII完成2选1多路选择器的文本编辑输入(mux21a.v)和仿真测试等步骤,给出仿真波形。参考程序:见《EDA技术实用教程—VerilogHDL版(第四版)》例3-1。(教材(第五版)无该程序,可自行编写)

若目标器件是EP3C40Q240C8N,建议选实验电路模式5,用键1作为控制端s;a和b分别接clock5、clock0,输出信号y接扬声器speaker。通过短路帽选择clock0接256Hz信号,clock5接1024Hz。最后进行编译、下载和硬件测试实验。

图1-1 2选1多路选择器的引脚锁定窗

三、实验报告:

1.详细叙述2选1多路选择器实验过程;

2.给出2选1多路选择器仿真波形图及其分析报告。

实验2、设计含异步清零和同步时钟使能的加法计数器

一、实验目的:学习计数器的设计、仿真和硬件测试,进一步熟悉VerilogHDL设计技术。

二、实验原理和实验步骤:

图2-1含异步清0和同步时钟使能的4位加法器

1.实验原理:图2-1是一含计数使能、异步复位的4位加法计数器,书中例3-15是其VerilogHDL描述。由图2-1所示,图中间是4位锁存器;rst是异步清信号,高电平有效;clk是锁存信号;D[3:0]是4位数据输入端。当ENA为‘1’时,多路选择器将加1器的输出值加载于锁存器的数据端;当ENA为‘0’时保持上一次的输出。

2.实验步骤:

(1)按照发给大家的文件“Quartus II 9.0基本设计流程-VerilogHDL.ppt”所讲述的步骤,在QuartusII上对例3-15(第四版)(第五版p124例5-15)进行编辑、编译、综合、适配、仿真。说明例2-1各语句的作用,详细描述示例的功能特点,给出其所有信号的时序仿真波形。

(2)引脚锁定以及硬件下载测试。

若目标器件是EP3C40Q240C8N,建议选实验电路模式5,用键8(PIO7)控制RST;用键7控制ENA;计数溢出COUT接发光管D8;OUTY是计数输出接数码1;时钟CLK接clock2,通过跳线选择4Hz信号。引脚锁定后进行编译、下载和硬件测试实验。将实验过程和实验结果写进实验报告。

三、思考题

给出含异步清零和同步使能的16位二进制加减可控计数器的VerilogHDL描述。

四、实验报告要求:

1.说明例3-15(第四版)(第五版p124例5-15)各语句的作用,详细描述示例的功

能特点,给出其所有信号的时序仿真波形并分析结果。

2.给出实验过程和硬件测试实验结果

3.完成思考题

实验3、1位全加器原理图输入设计

一、实验目的:

1.掌握1位全加器的工作原理;

2.掌握1位全加器的原理图输入设计方法;

3.学会QuartusII的时序波形仿真方法;

4.了解VerilogHDL设计初步。

二、实验原理与步骤:

在QuartusII环境下,点击QuartusII的file菜单,选择new,打开new窗口,在new

窗口中选择Block Diagram/Schematic File,进入相应的界面,即可输入原理图,输入方法见《EDA技术实用教程》第4章第5节。先设计好一个半加器,并按照《EDA技术实用教程》第4章第5节介绍的方法将其作为一个库文件,输入后原理图如下图1-1所示。

图1-1连接好原理图并存盘

现在利用已设计好的半加器,完成顶层项目全加器的设计,详细步骤如下:

1、打开一个新的原理图编辑窗,然后在本工程目录中找到已包装好的半加器元件h_adder,并将它调入原理图编辑窗中。这时如果对编辑窗中的半加器元件h_adder双击,即可弹出此元件内部的原理图。

2、完成全加器原理图设计(图1-2),并以文件名f_adder.bdf存在同一目录中。

图1-2 在顶层编辑窗中设计好全加器

3、将当前文件设置成Project,并选择目标器件为CycloneIII系列的EP3C40Q240C8N。

4、编译此顶层文件f_adder.bdf,然后建立波形仿真文件。

5、对应f_adder.bdf的波形仿真文件如图1-3所示,参考图中输入信号cin、bin和ain 输入信号电平的设置,启动仿真器Simulator,观察输出波形的情况。

6、锁定引脚、编译并编程下载,硬件实测此全加器的逻辑功能。

图1-3 1位全加器的时序仿真波形

建议选择实验电路模式5,键1、2、3分别接ain、bin、cin;发光管D2、D1分别接sum 和cout。请查表确定每个引脚锁定。

三、实验注意事项:

1.输入文件名不能用汉字或关键字、非法字符;

2.注意文件在编译连接时的路径;

3.注意引脚分配与对应的FPGA芯片相匹配。

四、实验设备:

GW48EDA 系统,计算机一台

五、实验思考:

1. 比较原理图与文本两种输入方法。

六、实验报告要求:

1. 给出各层次的原理图及其对应的仿真波形图;

2. 给出硬件测试流程和结果;

3. 回答实验思考题。

实验4、7段数码显示译码器设计(教材(第四版)p139 4-5十六进制7段数码显示译码器设计;(第五版)p112)

一、实验目的:学习7段数码显示译码器设计;学习VerilogHDL 的多层次设计方法。

二、实验原理与步骤:

1. 实验原理:

7段数码是纯组合电路,通常的小规模专用IC ,如74或4000系列的器件只能作十进制BCD 码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用译码程序在FPGA/CPLD 中来实现。

2. 实验步骤:

表4-1 7段译码器真值表

(1) 首先按7段译码器真值表,完成7段BCD 码译码器的设计。作为7段BCD 码

译码器,输出信号LED7S 的7位分别接如图4-1数码管的7个段,高位在左,

低位在右。例如当LED7S 输出为“1101101”时,数码管的7个段:g 、f 、e 、d 、

c 、b 、a 分别接1、1、0、1、1、0、1;接有高电平的段发亮,于是数码管显示

“5”。

(2) 设计该译码器,在QuartusII 上对其进行编辑、编译、综合、适配、仿真,给出

其所有信号的时序仿真波形(提示:用输入总线的方式给出输入信号仿真数据)。

引脚锁定及硬件测试。建议选实验电路模式6,用数码8显示译码输出, 键

8/7/6/5四位控制输入,硬件验证译码器的工作性能

图4-1 共阴数码管及其电路

图4-2 7段译码器仿真波形

(3)将设计加入4位二进制计数器,经上面设计的16进制7段译码器显示。

图4-3计数器和译码器连接电路原理图

四、实验报告要求:

1、详细叙述16进制7段译码器的程序设计;

2、详细给出16进制7段译码器电路的仿真波形图和波形分析;

3、详细给出计数器和译码器连接的程序设计

实验5、数控分频器的VerilogHDL设计(见教材(第四版)p176 5-2 模可控计数器设计;(第五版)p127 5-4可预置型计数器设计)

以电子琴为例练习数控分频器,具体方案和程序见课本“乐曲硬件演奏电路设计”部分(教材(第四版)P307,教材第五版P227)

实验6、2位十进制频率计原理图输入设计法

一、实验目的:熟悉原理图输入法中74系列等宏功能元件的使用方法,掌握更复杂的原理图层次化设计技术和数字系统设计方法。完成4位十进制频率计的设计,学会利用实验系统上的FPGA/CPLD验证较复杂设计项目的方法。

二、实验原理与步骤:

下面是2位十进制频率计的底层元件原理图和顶层原理图的关系:中间为顶层原理图,整个系统由两个底层原件组成,上面是时序控制元件的原理图,下面是计数器元件原理图。先设计底层的两个元件,再设计顶层。

图6-3 用74390设计一个有时钟使能的两位十进制计数器

(1)实验原理:

若某一信号在T秒时间里重复变化了N 次,则根据频率的定义可知该信号的频率fs 为:fs=N/T 通常测量时间T取1秒或它的十进制时间。

根据频率计的测频原理,在图6-1频率计的顶层电路设计中,74374是8位锁存器,74248是7段BCD译码器,它的7位输出可以直接与7段共阴数码管相接。上面的74248显示个位频率计数值,下面的显示十位频率计数值(conter8是电路图6-3构成的元件)。

F_IN是待测频率信号(设其频率周期为410ns);CNT_EN是对待测频率脉冲计数允许信号(设其频率周期为32us),CNT_EN高电平时允许计数,低电平时禁止计数。仿真波形

显示,当CNT_EN 为高电平时允许conter8对F_IN 计数,低电平时conter8停止计数,由锁存信号LOCK 发出的脉冲将conter8中的2个4位十进制数“39”锁存进74374中,并由74374分高低位通过总线H[6..0]和L[6..0]输给74248译码输出显示,这就是测得的频率值。此后由清0信号CLR 对计数器conter8清0,以备下一周期计数之用。

注意,由于有锁存器74374的存在,即使在conter8被清0后,数码管仍然能稳定显示上一测频周期测得的频率值。另外,图中的进位信号COUT 是留待频率计扩展用的。在实际测频中,由于CNT_EN 是测频控制信号,如果其频率选定为0.5Hz ,则其允许计数的脉宽为1秒,这样,数码管就能直接显示F_IN 的频率值了。

要想使频率计自动测频,增加如下的测频控制电路。要求按照图6-4所示的时序关系,产生三个控制信号:CNT_EN 、LOCK 和CLR,以便使频率计自动完成:计数、锁存和清零。测频时序控制电路如图6-2。

图6-4 测频时序控制电路工作波形

2、实验步骤:

(1) 首先按照《EDA 技术实用教程》第4.5.2小节介绍的方法与流程,完成2位十进计数器的设计,包括编译、综合、仿真,并存入库中(FILE->Create/updata->create symbol files for current file )。

(2)按照《EDA 技术实用教程》第4.5.2小节介绍的方法与流程,完成测频控制器的设计,包括编译、综合、仿真,并存入库中(FILE->Create/updata->create symbol files for current file )。

(3)层次化设计的方法,完成2位频率计的设计,包括原理图输入、编译、综合、仿真、引脚锁定、编程下载和硬件测试。

注:建议硬件测试实验电路采用NO.6电路结构,待测信号F_IN 接clock0;测频控制时钟CLK 接clock2(8hz )。

四、思考题:

1、怎样实现测频范围的扩大;

2、怎样提高测量的精确度。

五、实验报告要求:

1、详细叙述4位十进制频率计的设计流程;

1、 详细给出各层次的原理图、工作原理、电路的仿真波形图和波形分析;

2、 详细叙述硬件实验过程和实验结果。

3、 完成实验思考题。

实验7、ADC0809的采样控制电路的实现(《EDA 技术实用教程—VerilogHDL 版(第四版)》 P286) ((第五版)》 P278)

一、实验目的:学习用状态机对A/D 转换器ADC0809的采样控制电路的实现。

二、实验原理和实验步骤:

1. 实验原理:

ADC0809是CMOS 的8位A/D 转换器,片内有8路模拟开关,可控制8个模拟量中的一个进入转换器中。ADC0809的精度为8位,转换时间约100μs ,含锁存控制的8

路多

路开关,输出有三态缓冲器控制,单5V电源供电。

图7-1 ADC0809工作时序

主要控制信号说明:如图7-1所示,START是转换启动信号,高电平有效;ALE是3位通道选择地址(ADDC,ADDB,ADDA)信号的锁存信号。当模拟量送到某一输入端(如IN1或IN2等),由3位地址信号选择,而地址信号由ALE锁存。EOC是转换情况状态信号(类似于AD574的STA TUS),当启动转换约100us后,EOC产生一个负脉冲,以示转换结束;在EOC的上升沿后,若输出使能信号OE为高电平,则控制打开三态缓冲器,把转换好的8位数据结果输至数据总线。至此ADC0809的一次转换结束。

2.实验内容:

(1)利用QuartusII对课本例8-2进行文本编辑输入和仿真测试;给出仿真波形。最后进行引脚锁定并进行测试,硬件验证例8-2电路对ADC0809的控制功能。

图7-2 采样状态机结构框图

引脚锁定情况:先用14芯线将附图1中“17”和“8”相连,具体管脚锁定情况见“17”和“8”处两边已标出。程序设计中ADDA、ADDB均需赋0。实验板上的ENABLE即程序中的EOC。两个数码管显示Q输出,选择模式5的数码管1、2或数码管8、7,不要选择中间的,因中间数码管的部分引脚已被ADC0809使用。(新实验板没有从ADC0809 D[7:0]连接的数码管。)

(2)在不改变原代码功能的条件下将课本例8-2表达成用状态码直接输出型的状态机。三、思考题:

利用课本8.7节介绍的多种方法设计安全可靠地状态机,并对这些方法作比较,总结安全状态机设计的经验。

四、实验报告要求:

1.详细写出ADC0809的采样控制电路的工作原理;

2.给出ADC0809的采样控制的程序代码及程序分析;

3.给出仿真波形并对仿真波形进行分析;

4.给出硬件测试结果

实验8、正弦信号发生器设计(见教材(第四版)p220 6-2 正弦信号发生器设计;(第五版)p194 7-2 正弦信号发生器设计)

一、实验目的:

1.学习用VerilogHDL设计波形发生器和扫频信号发生器;

2.掌握FPGA对D/A的接口和控制技术;

3.学会LPM_ROM在波形发生器设计中的实用方法。

二、实验原理和实验步骤:

图8-1 波形发生与扫频信号发生器电路结构图

1.实验原理:

如图8-1所示,完整的波形发生器由4部分组成:

首先是FPGA中的波形发生器控制电路,它通过外来控制信号和高速时钟信号,向波形数据ROM发出地址信号,输出波形的频率由发出的地址信号的速度决定;当以固定频率扫描输出地址时,模拟输出波形是固定频率,而当以周期性时变方式扫描输出地址时,则模拟输出波形为扫频信号。

波形数据ROM中存有发生器的波形数据,如正弦波或三角波数据。当接受来自FPGA 的地址信号后,将从数据线输出相应的波形数据,地址变化得越快,则输出数据的速度越快,从而使D/A输出的模拟信号的变化速度越快。波形数据ROM可以由多种方式实现,如在FPGA外面外接普通ROM;由逻辑方式在FPGA中实现;或由FPGA中的EAB模块担当,如利用LPM_ROM实现。相比之下,第1种方式的容量最大,但速度最慢;第2种方式容量最小,但速度最快;第3种方式则兼顾了两方面的因素。

D/A转换器负责将ROM输出的数据转换成模拟信号,经滤波电路后输出。输出波形的频率上限与D/A器件的转换速度有重要关系,本例采用DAC0832器件。

DAC0832是8位D/A转换器,转换周期为1μs,其引脚信号以及与FPGA目标器件典型的接口方式如模式5图所示。其参考电压与+5V工作电压相接(实用电路应接精密基准电压)。DAC0832的引脚功能简述如下:

ILE(PIN 19):数据锁存允许信号,高电平有效,系统板上已直接连在+5V上。

WR1、WR2(PIN 2、18):写信号1、2,低电平有效。

XFER(PIN 17):数据传送控制信号,低电平有效。

VREF(PIN 8):基准电压,可正可负,-10V~+10V。

RFB(PIN 9):反馈电阻端。

IOUT1/IOUT2(PIN 11、12):电流输出端。D/A转换量是以电流形式输出的,所以必须如实验结构图NO.5C所示连接方式将电流信号变为电压信号。

AGND/DGND(PIN 3、10):模拟地与数字地。在高速情况下,此二GND地的连接线必须尽可能短,且系统的单点接地点须接在此连线的某一点上。正弦波波型数据由64个点构成,此数

据经DAC0832,并经滤波器后,可在示波器上观察到光滑的正弦波(若接精密基准电压,可得到更为清晰的正弦波形)。

2.实验步骤:

(1)利用《EDA技术实用教程》p200第6.4.3介绍的方法,定制波形数据ROM,并完成mif数据文件的编辑。必要时增加波形点数,以利低频输出时,仍保持良好波形。波形数据可由其它方式自动生成),完成波形发生器和扫频信号源的设计,仿真测试及实验系统上的硬件测试。

引脚锁定:先用10芯线将图1 GW48-PK4中“7”和“14”相连,引脚锁定情况已在“7”和“14”处已标出,接上USB电源和±12V电源(左上角高压开关打开,附近led灯亮即表示打开,平时不要打开高压),时钟接50MHZ,DAC输出接示波器,下载设计。

(2)按照课本p206,图6-49所示,用原理图方法设计正弦信号发生器,硬件实现时可以通过SignalTapII观察波形。(选做)

三、思考题:如果CLK的输入频率是50MHz,ROM中一个周期的正弦波数据是128个,要求输出的正弦波频率不低于150KHz,0832是否能适应此项工作?为什么?

四、实验报告要求:(下面要求均针对波形数据放在内部ROM中的程序设计)

1.作出本项实验设计的完整电路图,详细说明其工作原理,

2.给出程序代码及程序分析;

3.给仿真波形并对其进行分析

4.详细叙述基于LPM_ROM的VerilogHDL电路设计的详细内容、仿真波形和分析测试、

实验内容。

5.详细叙述硬件实验过程和实验结果分析。

实验9、用流水线技术设计高速数字相关器(《EDA技术实用教程—VerilogHDL 版(第四版)》P246;(第五版)P238)

一、实验目的:

设计一个在数字通信系统中常见的数字相关器,并利用流水线技术提高其工作速度,对其进行仿真和硬件测试。

二、实验原理与步骤

1、实验原理:

数字相关器用于检测等长度的两个数字序列间相等的位数,实现序列间的相关运算。一位相关器即是异或门,异或的结果可以表示两个1位数据的相关程度。异或为0表示数据位相同;异或为1表示数据位不同。多位数字相关器可以由多个一位相关器构成,如N位

的数字相关器由N个异或门和N个1位相关结果统计电路构成。

2、实验步骤:

(1)根据上述原理设计一个并行4位数字相关器。

提示:利用CASE语句完成4个1位相关结果的统计,其样例程序如下:

module xiangguan(a,b,c);

input[3:0] a,b;

output[2:0] c;

reg[2:0] c;

always@(a,b)

begin

case(a^b)

4'b0:c=3'd4;

4'b0001, 4'b0010, 4'b0100, 4'b1000:c=3'd3;

4'b0011, 4'b0101, 4'b1001,4'b0110,4'b1010, 4'b1100:c=3'd2;

4'b0111, 4'b1011, 4'b1101, 4'b1110:c=3'd1;

4'b1111:c=3'd0;

default:c=3'd0;

endcase

end

endmodule

(2)利用实验步骤(1)中的4位数字相关器设计并行16位数字相关器。使用QuartusII估计最大延时,并计算可能运行频率。

(3)在实验步骤(1)的基础上,利用设计完成的4位数字相关器设计并行16位数字相关器,其结构框图见图9-1,并利用QuartusII计算运行速度。

图9-1 16位相关器结构

(4)实验步骤(3)的16位数字相关器是用3级组合逻辑实现的,在实际使用时,对其

有高速的要求,试使用流水线技术改善其运行速度。在输入、输出及每一级组合逻辑的结果处加入流水线寄存器,提高速度,可参照《EDA技术实用教程》中第11章优化和时序分析的有关内容进行设计。

注:如果使用经典时序分析,需首先在setting中设置,因为软件默认是使用TimeQuest 进行时序分析。如何使用TimeQuest进行时序分析,见相关PPt。

五、思考题:

考虑采用流水线后的运行速度与时钟clock的关系,测定输出与输入的总延迟。若输入序列是串行化的,数字相关器的结构如何设计?如何利用流水线技术提高其运行速度?

四、实验报告要求:

1、详细叙述数字相关器的设计原理;

2、详细给出各实验步骤的原理图、工作原理、程序设计、电路的仿真波形图和波形分析;

3、详细叙述硬件实验过程和实验结果分析。

实验10、循环冗余(CRC)模块设计

一、实验目的:设计一个在数字传输中常用的校验、纠错模块:循环冗余校验CRC模块,学习使用FPGA器件完成数据传输中的差错控制。

二、实验原理和实验步骤:

1、实验原理:

CRC即Cyclic Redundancy Check 循环冗余校验,是一种数字通信中的信道编码技术。经过CRC方式编码的串行发送序列码,可称为CRC码,共由两部分构成:k位有效信息数据和r位CRC校验码。其中r位CRC校验码是通过k位有效信息序列被一个事先选择的r+1位“生成多项式”相“除”后得到(r位余数即是CRC校验码),这里的除法是“模2运算”。CRC 校验码一般在有效信息发送时产生,拼接在有效信息后被发送;在接收端,CRC码用同样的生成多项式相除,除尽表示无误,弃掉r位CRC校验码,接收有效信息;反之,则表示传输出错,纠错或请求重发。

本设计完成12位信息加5位CRC校验码发送、接收,由两个模块构成,CRC校验生成模块(发送)和CRC校验检错模块(接收),采用输入、输出都为并行的CRC校验生成方式。图10-1的CRC模块端口数据说明如下:

图10-1 CRC模块

sdata:12位的待发送信息

datald:sdata的装载信号

datacrc:附加上5位CRC校验码的17位CRC码,在生成模块被发送,在接收模块被接收。clk:时钟信号

rdata:接收模块(检错模块)接收的12位有效信息数据

hsend、hrecv:生成、检错模块的握手信号,协调相互之间关系

error:误码警告信号

datafini:数据接收校验完成

采用的CRC生成多项式为X5+X4+X2+1,校验码为5位,有效信息数据为12位。

2、实验步骤:

(1)编译以上示例文件,给出仿真波形。

(2)建立一个新的设计,调入crcm模块,把其中的CRC校验生成模块和CRC校验查错模块连接在一起,协调工作。引出必要的观察信号,锁定引脚,并在EDA实验系统上的FPGA 目标器件中实现。

三、思考题

1.如果输入数据、输出CRC码都是串行的,设计该如何实现(提示:采用LFSR)。

2.在程序中需要8个时钟周期才能完成一次CRC校验,试重新设计使得在一个clk周期内完成。

四、实验报告:

1.详细叙述CRC校验的工作原理;

2.给出程序设计、程序分析;

3.给出仿真波形并分析;

4.硬件测试和详细实验过程。

实验11 数字钟

一、实验目的与要求:

1、实验目的:进一步掌握用VerilogHDL 语言编写任意进制计数器的方法,通过本次实验要充分认识到,顶层结构的设计和优化在综合设计中的重要性。

2、实验要求:利用前面实验所学知识,设计一数字钟并在GW48 实验箱上实现。具体要求如下:

1.计时可选十二进制计时和二十四进制计时;

2.可手动校时,能分别进行时、分的校正;

3.带闹钟功能,当计时计到闹铃时间时,发光二极管点亮,闹铃时间为1分,可

用按键提前终止闹铃;

4.带秒表功能;(选做)

5.带日历显示,可显示月、日等。(选做)

二、实验基本原理与功能:

基本原理:数字钟秒到分、分到时均为60进制,利用VerilogHDL编写模60的计数器,秒模块的CLK可从实验板上取得,秒模块的本身输出用来驱动显示秒的数码管,进位输出恰好是分模块的CLK。分模块的进位作为时模块的CLK。时模块为24进制。

需要调整时间时,可以用数据选择器将正常的各个模块时钟切断取而代之的是由实验箱上的按键产生的单脉冲,从而实现调整时间的功能。

闹铃时间与当前时间要共用数码管的方式显示,同样我们可以采用多位数据选择器来实现。

闹铃实现可采用比较计时模块输出与闹钟设定输出完全相等时,输出控制信号使扬声器

发声。

三、实验主要技术指标:

1、能够用数码管显示当前时间的时、分、秒。(时采用24小时制)

2、能够通过按键调整时钟的时、分。

3、能够设定闹铃时间。闹铃时间到,有声音提示。

4、闹铃时间与当前时间要共用数码管的方式显示,并能用按键来切换。

四、设计步骤:

1、根据题目要求,参考GW48使用说明书,选取适当的模式来实现。

2、依据题目要求功能,设计顶层总体结构图。

3、使用VerilogHDL 语言来实现顶层结构中各个模块的功能,并创建顶层文件可调用的图形元件,如:2

4、60进制计数器,数据选择器等模块。

4、创建顶层GDF文档,并将各模块连接。

5、根据题目要求,以及第一步所选模式,并查表,定义引脚。

6、编译并下载到目标芯片中。

7、利用实验箱验证所设计的数字钟功能。

五、实验报告要求:

1、写出实验目的及要求。

2、写出设计步骤,画出设计的顶层结构图。写出硬件测试的引脚锁定情况。

3、列出各个模块的程序清单及仿真波形并进行分析说明。

4、写出设计体会及心得。

备注:有兴趣和能力的同学可以用课本第11章介绍的方法完成一个数字钟。(《EDA技术实用教程—VerilogHDL版(第四版)》P385;(第五版没有SOPC系统开发技术))

选作实验:

实验12、用直接数字合成器(DDS)实现正弦波形发生器设计(《EDA技术实用教程—VerilogHDL版(第四版)》P222和第6.11节;(第五版)p196)

一、实验目的与要求:

1、实验目的:学习利用EDA技术、FPGA和直接数字合成器的原理设计一正弦波形发生

器。

2、实验要求:利用前面实验所学知识和DDS技术,设计一正弦波形发生器并在GW48

实验箱上实现。

具体要求如下:设计一个频率可调、相位可调的正弦波形发生器。

二、实验基本原理与功能:

DDS技术是一种把一系列数字形式的信号通过DAC转换成模拟形式的信号合成技术,目前使用最广泛的一种DDS方式是利用高速存储器作查找表,然后通过高速DAC 输出已经用数字形式存入的正弦波。

图12-1 DDS的基本原理图

图12-1是DDS的基本原理图,频率控制字和相位控制字分别控制DDS输出正(余)弦波的频率和相位。DDS系统的核心是相位累加器,它由一个累加器和一个N位相位寄存器组成。每来一个时钟脉冲,相位寄存器以步长M增加。

三、实验主要技术指标:

1、弦波输出频率范围:1kHz~10MHz;

2、具有频率设置功能,频率步进:100Hz;

3、输出信号频率稳定度:优于10-4;

4、输出电压幅度:在50Ω负载电阻上的电压峰-峰值Vopp≥1V;

5、失真度:用示波器观察时无明显失真。

四、设计步骤:

1、根据题目要求,参考GW48使用说明书,选取适当的模式来实现。

2、依据题目要求功能,设计顶层总体结构图。

3、使用VerilogHDL 语言来实现顶层结构中各个模块的功能,并创建顶层文件可调用的图形元件,如:累加器、相位寄存器、加法器、正弦查找表等模块。

4、创建顶层GDF文档,并将各模块连接。

5、根据题目要求,以及第一步所选模式,并查表,定义引脚。

6、编译并下载到目标芯片中。

7、利用实验箱验证所设计项目的各项功能。

(完整版)离散数学实验指导书及其答案

实验一命题逻辑公式化简 【实验目的】加深对五个基本联结词(否定、合取、析取、条件、双条件)的理解、掌握利用基本等价公式化简公式的方法。 【实验内容】用化简命题逻辑公式的方法设计一个表决开关电路。 实验用例:用化简命题逻辑公式的方法设计一个 5 人表决开关电路,要求 3 人以上(含 3 人)同意则表决通过(表决开关亮)。 【实验原理和方法】 (1)写出5人表决开关电路真值表,从真值表得出5 人表决开关电路的主合取公式(或主析取公式),将公式化简成尽可能含五个基本联结词最少的等价公式。 (2)上面公式中的每一个联结词是一个开关元件,将它们定义成 C 语言中的函数。 (3)输入5人表决值(0或1),调用上面定义的函数,将5人表决开关电路真值表的等价公式写成一个函数表达式。 (4)输出函数表达式的结果,如果是1,则表明表决通过,否则表决不通过。 参考代码: #include int vote(int a,int b,int c,int d,int e) { // 五人中任取三人的不同的取法有10种。 i f( a&&b&&c || a&&b&&d || a&&b&&e || a&&c&&d || a&&c&&e || a&&d&&e || b&&c&&d || b&&c&&e || b&&d&&e || c&&d&&e) return 1; else return 0; } void main() { i nt a,b,c,d,e; printf(" 请输入第五个人的表决值(0 或1,空格分开):"); scanf ("%d%d%d%d%d",&a,&b,&c,&d,&e); i f(vote(a,b,c,d,e)) printf(" 很好,表决通过!\n"); else printf(" 遗憾,表决没有通过!\n"); } // 注:联结词不定义成函数,否则太繁 实验二命题逻辑推理 【实验目的】加深对命题逻辑推理方法的理解。【实验内容】用命题逻辑推理的方法解决逻辑

基础工业工程实验指导书(完整版)

实验1 流程程序分析 一、实验目的 1、学会用程序分析符号、记录并绘制某产品(或零件、服务)的流程程序图。 2、学会用“5W1H”分析(完成了什么?何处做?何时做?由谁做?如何做?为什么要这样做?)技术发掘问题,用“ECRS”原则来改进程序。 二、实验说明 1、流程程序分析是以产品或零件的加工全过程为对象,运用程序分析技巧对整个流程程序中的操作、搬运、贮存、检验、暂存五个方面加以记录和考查、分析。流程程序分析是对生产现场的宏观分析,但它比工艺流程更具体、内容更详细,用途更广泛。 2、运用“5W1H”提问技术,对“操作”、“搬运”、“贮存”、“检验”、“暂存”五个方面进行考查、逐项提问,从而达到考查、分析、发掘问题的目的。 3、在发掘问题的基础上,应用取消、合并、重排、简化四大原则来建立新的程序。 三、实验器材 电子天平、电子秒表、计算器、胶带台、胶带、胶水、记录板、A4纸、包装纸、物流箱等。 四、实验分组 5~6人一组,1人模拟顾客,1人模拟邮局业务员,1人使用记录板记录,1人使用电子秒表测时,其他人认真观察,做些辅助工作。 五、实验内容及步骤 本实验模拟邮局邮包发送流程,可参考下列流程进行: (1)顾客到达。(流程分析起点); (2)询问业务; (3)等待顾客填单; (4)从顾客手中接邮包和填好的包裹单;

(5)包装邮寄物; (6)称重; (7)使用计算器计算邮资;(2元起价,含200克,200克以上按1分/克计算邮资) (8)向顾客收取邮资; (9)登帐(实为计算机操作,这里用手工记账代替); (10)贴包裹单; (11)贴邮票; (12)将包裹放入邮件暂存箱; (13)把包裹单第二联交顾客; (14)顾客离开,服务结束。 实验时,先模拟1~2遍,然后负责记录的同学使用流程图符号记录“邮局业务员”的实际工作流程,绘制流程程序分析简图。同时记录时间和移动距离等参考数据。 六、实验报告要求 使用实习报告纸或课程设计纸书写。实验报告应包含以下内容: (1)实验目的;(2)实验器材;(3)实验分组;(4)实验内容与步骤; (5)5W1H分析过程;(6)ECRS改善过程;(7)规范的以为人主的流程程序图(含现行方法和改善方法)。(8)对分析改善进行总结。

测试技术实验指导书及实验报告2006级用汇总

矿压测试技术实验指导书 学号: 班级: 姓名: 安徽理工大学 能源与安全学院采矿工程实验室

实验一常用矿山压力仪器原理及使用方法 第一部分观测岩层移动的部分仪器 ☆深基点钻孔多点位移计 一、结构简介 深基点钻孔多点位移计是监测巷道在掘进和受采动影响的整个服务期间,围岩内部变形随时间变化情况的一种仪器。 深基点钻孔多点位移包括孔内固定装置、孔中连接钢丝绳、孔口测读装置组成。每套位移计内有5~6个测点。其结构及其安装如图1所示。 二、安装方法 1.在巷道两帮及顶板各钻出φ32的钻孔。 2.将带有连接钢丝绳的孔内固定装置,由远及近分别用安装圆管将其推至所要求的深度。(每个钻孔布置5~6个测点,分别为;6m、5m、4m、3m、2m、lm或12m、10m、8m、6m、4m、2m)。 3.将孔口测读装置,用水泥药圈或木条固定在孔口。 4。拉紧每个测点的钢丝绳,将孔口测读装置上的测尺推至l00mm左右的位置后,由螺丝将钢丝绳与测尺固定在一起。 三、测试方法 安装后先读出每个测点的初读数,以后每次读得的数值与初读数之差,即为测点的位移值。当读数将到零刻度时,松开螺丝,使测尺再回到l00mm左右的位置,重新读出初读数。 ☆顶板离层指示仪 一、结构简介: 顶板离层指示仪是监测顶板锚杆范围内及锚固范围外离层值大小的一种监测仪器,在顶板钻孔中布置两个测点,一个在围岩深部稳定处,一个在锚杆端部围岩中。离层值就是围岩中两测点之间以及锚杆端部围岩与巷道顶板表面间的相对位移值。顶板离层指示仪由孔内固定装置、测量钢丝绳及孔口显示装置组成如图1所示。

二、安装方法: 1.在巷道顶板钻出φ32的钻孔,孔深由要求而定。 2.将带有长钢丝绳的孔内固定装置用安装杆推到所要求的位置;抽出安装杆后再将带有短钢丝绳的孔内固定装置推到所要求的位置。 3.将孔口显示装置用木条固定在孔口(在显示装置与钻孔间要留有钢丝绳运动的间隙)。 4.将钢丝绳拉紧后,用螺丝将其分别与孔口显示装置中的圆管相连接,且使其显示读数超过零刻度线。 三、测读方法: 孔口测读装置上所显示的颜色,反映出顶板离层的范围及所处状态,显示数值表示顶板的离层量。☆DY—82型顶板动态仪 一、用途 DY-82型顶板动态仪是一种机械式高灵敏位移计。用于监测顶底板移近量、移近速度,进行采场“初次来压”和“周期来压”的预报,探测超前支撑压力高 峰位置,监测顶板活动及其它相对位移的测量。 二、技术特征 (1)灵敏度(mm) 0.01 (2)精度(%) 粗读±1,微读±2.5 (3)量程(mm) 0~200 (4)使用高度(mm) 1000~3000 三、原理、结构 其结构和安装见图。仪器的核心部件是齿条6、指针8 以及与指针相连的齿轮、微读数刻线盘9、齿条下端带有读 数横刻线的游标和粗读数刻度管11。 当动态仪安装在顶底板之间时,依靠压力弹簧7产生的 弹力而站立。安好后记下读数(初读数)并由手表读出时间。 粗读数由游标10的横刻线在刻度管11上的位置读出,每小 格2毫米,每大格(标有“1”、“22'’等)为10毫米,微读数 由指针8在刻线盘9的位置读出,每小格为0.01毫米(共200 小格,对应2毫米)。粗读数加微读数即为此时刻的读数。当 顶底板移近时,通过压杆3压缩压力弹簧7,推动齿条6下 移,带动齿轮,齿轮带动指针8顺时针方向旋转,顶底板每 移近0.01毫米,指针转过1小格;同时齿条下端游标随齿条 下移,读数增大。后次读数减去前次读数,即为这段时间内的顶底板移近量。除以经过的时间,即得

混凝土结构实验指导书及实验报告(学生用)

土木工程学院 《混凝土结构设计基本原理》实验指导书 及实验报告 适用专业:土木工程周淼 编 班级::学 号: 理工大学 2018 年9 月

实验一钢筋混凝土梁受弯性能试验 一、实验目的 1.了解适筋梁的受力过程和破坏特征; 2.验证钢筋混凝土受弯构件正截面强度理论和计算公式; 3.掌握钢筋混凝土受弯构件的实验方法及荷载、应变、挠度、裂缝宽度等数据的测试技术 和有关仪器的使用方法; 4.培养学生对钢筋混凝土基本构件的初步实验分析能力。 二、基本原理当梁中纵向受力钢筋的配筋率适中时,梁正截面受弯破坏过程表现为典型的三个阶段:第一阶段——弹性阶段(I阶段):当荷载较小时,混凝土梁如同两种弹性材料组成的组合梁,梁截面的应力呈线性分布,卸载后几乎无残余变形。当梁受拉区混凝土的最大拉应力达到混凝土的抗拉强度,且最大的混凝土拉应变超过混凝土的极限受拉应变时,在纯弯段某一薄弱截面出现首条垂直裂缝。梁开裂标志着第一阶段的结束。此时,梁纯弯段截面承担的弯矩M cr称为开裂弯矩。第二阶段——带裂缝工作阶段(II阶段):梁开裂后,裂缝处混凝土退出工作,钢筋应力急增,且通过粘结力向未开裂的混凝土传递拉应力,使得梁中继续出现拉裂缝。压区混凝土中压应力也由线性分布转化为非线性分布。当受拉钢筋屈服时标志着第二阶段的结束。此时梁纯弯段截面承担的弯矩M y称为屈服弯矩。第三阶段——破坏阶段(III阶段):钢筋屈服后,在很小的荷载增量下,梁会产生很大的变形。裂缝的高度和宽度进一步发展,中和轴不断上移,压区混凝土应力分布曲线渐趋丰满。当受压区混凝土的最大压应变达到混凝土的极限压应变时,压区混凝土压碎,梁正截面受弯破坏。此时,梁承担的弯矩M u 称为极限弯矩。适筋梁的破坏始于纵筋屈服,终于混凝土压碎。整个过程要经历相当大的变形,破坏前有明显的预兆。这种破坏称为适筋破坏,属于延性破坏。 三、试验装置

电力电子实验指导书.

电力电子技术 实 验 指 导 书 北京化工大学信息科学与技术学院电工电子教学实习中心 二零零四年六月

目录 实验1 三相桥式全控整流电路的性能研究(设计性) (1) 实验2 直流斩波电路的性能研究(设计性) (5) 实验3 单相交流调压电路的性能研究(设计性) (7) 实验4 单相交直交变频电路的性能研究(设计性) (9)

实验1 三相桥式全控整流电路的性能研究(设计性) 1. 实验目的 熟悉三相桥式全控整流电路的接线,器件和保护情况。明确对触发脉冲的要求。观察在电阻负载、电阻电感负载和反电动势负载情况下电路的输出电压和电流的波形。 2. 实验内容 1)熟悉实验装置的电路结构和器件,检查连接主电路和触发电路的接插线,检查快速熔断器是否良好。电路见实验图1,其中实验图1a为主电路,图中所接负载为电感电阻负载,实验中也可以接电阻负载。实验图1b所示为触发电路,该触发电路由3片集成触发电路芯片KJ004和1片集成双脉冲发生器芯片KJ041组成。触发电路产生的触发信号用接插线与主电路各晶闸管相连接。 2) 熟悉采用KJ004和KJ041构成的触发电路。

6)接电阻电感负载时,在3L R ω>的情况下,调节p u 使0=co u 时0≈d U ,以后p u 固定不变,通过调节变阻器的阻值(有条件的也可改变电感值)改变负载阻抗角?,对于不同的?,观察不同的α时d u 、d i 、和T u 的波形,注意电流临界连续时,α和?的配合情况。记录触发角α分别为0?、30?、60?和90?时co u 与d U 的数值。 7)负载端接平波电抗器和直流他励电动机的电枢,合闸时必须注意使0=co u 、 90α≈?和0≈d U ,随后逐步调节co u ,观察d u 、d i 、L u 和电枢端D u 的波形,适量加载,并分别观察接上电抗器与短接电抗器时d i 的波形,注意电流断续时的现象。 3. 实验报告 1) 估算实验电路参数并选择测试仪表。 2) 分析触发器输出的双脉冲波形。 3) 分别绘制出电阻负载、电感电阻负载时α-L d U U 2/曲线。 4) 不同负载时,不同α与?时电流连续与断续的情况与分析。 5) 讨论与分析实验结果,特别注意对实验过程中出现的异常情况进行分析。

电力电子实验指导书完全版范本

电力电子实验指导 书完全版

电力电子技术实验指导书 目录 实验一单相半波可控整流电路实验........................... 错误!未定义书签。实验二三相桥式全控整流电路实验........................... 错误!未定义书签。实验三单相交流调压电路实验 .................................. 错误!未定义书签。实验四三相交流调压电路实验 .................................. 错误!未定义书签。实验装置及控制组件介绍 ............................................ 错误!未定义书签。

实验一单相半波可控整流电路实验 一、实验目的 1.熟悉单结晶体管触发电路的工作原理及各元件的作用; 2.对单相半波可控整流电路在电阻负载及电阻电感负载时的工作做全 面分析; 3.了解续流二极管的作用; 二、实验线路及原理 熟悉单结晶体管触发电路的工作原理及线路图,了解各点波形形状。将单结晶体管触发电路的输出端“G”和“K”端接至晶闸管的门极和阴极, 即构成如图1-1所示的实验线路。 图1-1 单结晶体管触发的单相半波可控整流电路 三、实验内容 1.单结晶体管触发电路的调试; 2.单结晶体管触发电路各点电压波形的观察; 3.单相半波整流电路带电阻性负载时Ud/U2=f(α)特性的测定; 4.单相半波整流电路带电阻电感性负载时续流二极管作用的观察;

四、实验设备 1.电力电子实验台 2.RTDL09实验箱 3.RTDL08实验箱 4.RTDL11实验箱 5.RTDJ37实验箱 6.示波器; 7.万用表; 五、预习要求 1.了解单结晶体管触发电路的工作原理,熟悉RTDL09实验箱; 2.复习单相半波可控整流电路的有关内容,掌握在接纯阻性负载和阻 感性负载时,电路各部分的电压和电流波形; 3.掌握单相半波可控整流电路接不同负载时Ud、Id的计算方法。 六、思考题 1.单相桥式半波可控整流电路接阻感性负载时会出现什么现象?如何 解决? 七、实验方法 1.单相半波可控整流电路接纯阻性负载 调试触发电路正常后,合上电源,用示波器观察负载电压Ud、晶闸管VT两端电压波形U VT,调节电位器RP1,观察α=30o、60o、90o、120o、150o、180o时的Ud、U VT波形,并测定直流输出电压Ud 和电源电压U2,记录于下表1-1中。

土工实验指导书及实验报告

土工实验指导书及实验报告编写毕守一 安徽水利水电职业技术学院 二OO九年五月

目录 实验一试样制备 实验二含水率试验 实验三密度试验 实验四液限和塑限试验 实验五颗粒分析试验 实验六固结试验 实验七直接剪切试验 实验八击实试验 土工试验复习题

实验一试样制备 一、概述 试样的制备是获得正确的试验成果的前提,为保证试验成果的可靠性以及试验数据的可比性,应具备一个统一的试样制备方法和程序。 试样的制备可分为原状土的试样制备和扰动土的试样制备。对于原状土的试样制备主要包括土样的开启、描述、切取等程序;而扰动土的制备程序则主要包括风干、碾散、过筛、分样和贮存等预备程序以及击实等制备程序,这些程序步骤的正确与否,都会直接影响到试验成果的可靠性,因此,试样的制备是土工试验工作的首要质量要素。 二、仪器设备 试样制备所需的主要仪器设备,包括: (1)孔径0.5mm、2mm和5mm的细筛; (2)孔径0.075mm的洗筛; (3)称量10kg、最小分度值5g的台秤; (4)称量5000g、最小分度值1g和称量200g、最小分度值0.01g的天平;

(5)不锈钢环刀(内径61.8mm、高20mm;内径79.8mm、高20mm或内径61.8mm、高40mm); (6)击样器:包括活塞、导筒和环刀; (7)其他:切土刀、钢丝锯、碎土工具、烘箱、保湿器、喷水设备、凡士林等。 三、试样制备 (一)原状土试样的制备步骤 1、将土样筒按标明的上下方向放置,剥去蜡封和胶带,开启土样筒取土样。 2、检查土样结构,若土样已扰动,则不应作为制备力学性质试验的试样。 3、根据试验要求确定环刀尺寸,并在环刀内壁涂一薄层凡士林,然后刃口向下放在土样上,将环刀垂直下压,同时用切土刀沿环刀外侧切削土样,边压边削直至土样高出环刀,制样时不得扰动土样。 4、采用钢丝锯或切土刀平整环刀两端土样,然后擦净环刀外壁,称环刀和土的总质量。 5、切削试样时,应对土样的层次、气味、颜色、夹杂物、裂缝和均匀性进行描述。 6、从切削的余土中取代表性试样,供测定含水率以及颗粒分析、界限含水率等试验之用。

实验指导书-全概论

《材料制备与表征实验》 (Experimental of Materials Preparation and Characterization Techniques) 指 导 书 中国矿业大学材料学院 2009 1 18

实验一溶胶凝胶法制备陶瓷粉体与性能表征 一、溶胶-凝胶法的基本原理 1846年法国化学家J.J.Ebelmen用SiCl4与乙醇混合后,发现在湿空气中发生水解并形成了凝胶。20世纪30年代W.Geffcken证实用金属醇盐的水解和凝胶化可以制备氧化物薄膜。1971年德国H.Dislich报道了通过金属醇盐水解制备了SiO2-B2O-Al2O3-Na2O-K2O多组分玻璃。1975年B.E.Yoldas和M.Yamane制得整块陶瓷材料及多孔透明氧化铝薄膜。80年代以来,在玻璃、氧化物涂层、功能陶瓷粉料以及传统方法难以制得的复合氧化物材料得到成功应用。 溶胶-凝胶法是用含高化学活性组分的化合物作前驱体,在液相下将这些原料均匀混合,并进行水解、缩合化学反应,在溶液中形成稳定的透明溶胶体系,溶胶经陈化胶粒间缓慢聚合,形成三维空间网络结构的凝胶,凝胶网络间充满了失去流动性的溶剂,形成凝胶。凝胶经过干燥、烧结固化制备出分子乃至纳米亚结构的材料。 胶体(colloid)是一种分散相粒径很小的分散体系,分散相粒子的重力可以忽略,粒子之间的相互作用主要是短程作用力。 溶胶(Sol)是具有液体特征的胶体体系,分散的粒子是固体或者大分子,分散的粒子大小在1~1000nm之间。 凝胶(Gel)是具有固体特征的胶体体系,被分散的物质形成连续的网状骨架,骨架空隙中充有液体或气体,凝胶中分散相的含量很低,一般在1%~3%之间。 溶胶-凝胶法的化学过程首先是将原料分散在溶剂中,然后经过水解反应生成活性单体,活性单体进行聚合,开始成为溶胶,进而生成具有一定空间结构的凝胶,经过干燥和热处理制备出纳米粒子和所需要材料。其最基本的反应是: (l)水解反应:M(OR)n +H2O → M (OH) x (OR) n-x +xROH (2) 聚合反应:-M-OH +HO-M-→ -M-O-M-+H2O -M-OR +HO-M-→ -M-O-M-+ROH 溶胶-凝胶法与其它方法相比具有许多独特的优点:(1)由于溶胶-凝胶法中所用的原料首先被分散到溶剂中而形成低粘度的溶液,因此,就可以在很短的时间内获得分子水平的均匀性,在形成凝胶时,反应物之间很可能是在分子水平上被均匀地混合。(2)由于经过溶液反应步骤,那么就很容易均匀定量地掺入一些微量元素,实现分子水平上的均匀掺杂。(3)与固相反应相比,化学反应将容易进行,而且仅需要较低的合成温度,一般认为溶胶一凝胶体系中组分的扩散在纳米范围内,而固相反应时组分扩散是在微米范围内,因此反应容易进行,温度较低。(4)选择合适的条件可以制备各种新型材料。 溶胶一凝胶法金属化合物经溶液、溶胶、凝胶而固化,再经低温热处理而生成纳米粒子。其特点反应物种多,产物颗粒均一,过程易控制,适于氧化物和Ⅱ~Ⅵ族化合物的制备。溶胶一凝胶法作为低温或温和条件下合成无机化合物或无机材料的重要方法,在软化学合成中占有重要地位。在制备玻璃、陶瓷、薄膜、纤维、复合材料等方面获得重要应用,更广泛用于制备纳米粒子。 二、实验目的与要求 1.了解溶胶一凝胶法的基本原理。 2.通过实验掌握溶胶凝胶的实验方法。 3.了解并掌握分体颗粒的基本表征方法。 三、实验过程与细节 1. 实验药品及配制 实验所用原料见表1。用去离子水配制0.5M的(NH4)2 HPO4溶液1000ml。无水乙醇配制0.5 M的Ca(NO3)2溶液1000 ml。Ca含量采用EDTA络合滴定分析,含量用磷钼酸喹啉重量法测定。分别用1+1的

优化设计实验指导书(完整版)

优化设计实验指导书 潍坊学院机电工程学院 2008年10月 目录

实验一黄金分割法 (2) 实验二二次插值法 (5) 实验三 Powell法 (8) 实验四复合形法 (12) 实验五惩罚函数法 (19)

实验一黄金分割法 一、实验目的 1、加深对黄金分割法的基本理论和算法框图及步骤的理解。 2、培养学生独立编制、调试黄金分割法C语言程序的能力。 3、掌握常用优化方法程序的使用方法。 4、培养学生灵活运用优化设计方法解决工程实际问题的能力。 二、实验内容 1、编制调试黄金分割法C语言程序。 2、利用调试好的C语言程序进行实例计算。 3、根据实验结果写实验报告 三、实验设备及工作原理 1、设备简介 装有Windows系统及C语言系统程序的微型计算机,每人一台。 2、黄金分割法(0.618法)原理 0.618法适用于区间上任何单峰函数求极小点的问题。对函数除“单峰”外不作 其它要求,甚至可以不连续。因此此法适用面相当广。 0.618法采用了区间消去法的基本原理,在搜索区间内适当插入两点和,它们把 分为三段,通过比较和点处的函数值,就可以消去最左段或最右段,即完成一次迭代。 然后再在保留下来的区间上作同样处理,反复迭代,可将极小点所在区间无限缩小。 现在的问题是:在每次迭代中如何设置插入点的位置,才能保证简捷而迅速地找到极小点。 在0.618法中,每次迭代后留下区间内包含一个插入点,该点函数值已计算过,因此以后的每次迭代只需插入一个新点,计算出新点的函数值就可以进行比较。 设初始区间[a,b]的长为L。为了迅速缩短区间,应考虑下述两个原则:(1)等比收缩原理——使区间每一项的缩小率不变,用表示(0<λ<1)。 (2)对称原理——使两插入点x1和x2,在[a,b]中位置对称,即消去任何一边区间[a,x1]或[x2,b],都剩下等长区间。 即有 ax1=x2b 如图4-7所示,这里用ax1表示区间的长,余类同。若第一次收缩,如消去[x2,b]区间,则有:λ=(ax2)/(ab)=λL/L 若第二次收缩,插入新点x3,如消去区间[x1,x2],则有λ=(ax1)/(ax2)=(1-λ)L/λL

CAD上机实验指导书及实验报告

北京邮电大学世纪学院 实验、实习、课程设计报告撰写格式与要求 (试行) 一、实验报告格式要求 1、有实验教学手册,按手册要求填写,若无则采用统一实验报告封面。 2、报告一律用钢笔书写或打印,打印要求用A4纸;页边距要求如下:页边距上下各为2.5厘米,左右边距各为2.5厘米;行间距取固定值(设置值为20磅);字符间距为默认值(缩放100%,间距:标准)。 3、统一采用国家标准所规定的单位与符号,要求文字书写工整,不得潦草;作图规范,不得随手勾画。 4、实验报告中的实验原始记录,须经实验指导教师签字或登记。 二、实习报告、课程设计报告格式要求 1、采用统一的封面。 2、根据教学大纲的要求手写或打印,手写一律用钢笔书写,统一采用国家标准所规定的单位与符号,要求文字书写工整,不得潦草;作图规范,不得随手勾画。打印要求用A4纸;页边距要求如下:页边距上下各为2.5厘米,左右边距各为2.5厘米;行间距取固定值(设置值为20磅);字符间距为默认值(缩放100%,间距:标准)。 三、报告内容要求 1、实验报告内容包括:实验目的、实验原理、实验仪器设备、实验操作过程、原始数据、实验结果分析、实验心得等方面内容。 2、实习报告内容包括:实习题目、实习任务与要求、实习具体实施情况(附上图表、原始数据等)、实习个人总结等内容。 3、课程设计报告或说明书内容包括:课程设计任务与要求、总体方案、方案设计与分析、所需仪器设备与元器件、设计实现与调试、收获体会、参考资料等方面内容。 北京邮电大学世纪学院 教务处 2009-8

实验报告 课程名称计算机绘图(CAD) 实验项目AutoCAD二维绘图实验 专业班级 姓名学号 指导教师实验成绩 2016年11月日

显微镜与望远镜实验指导书_全

一、实验目的 1.通过实验掌握显微镜、望远镜的基本原理; 2.通过实际测量,了解显微镜、望远镜的主要光学参数; 3.根据指示书提供的参考材料自己选择2套方案,测出水准仪的放大率并比较与实验结果是否相符。 二、实验器材 1.显微镜实验:测量显微镜、分辨率板、分辨率板放大图、透明刻线板、台灯,高倍(40×、45×)、中倍(8×或10×)、低倍(2.5×、3×或4×)显微物镜各一个,目镜若干(4×、5×、10×、15×等)。 2.望远镜实验:25×水准仪、平行光管、1×长工作距测量显微镜、视场仪、白炽灯、钢板尺、升降台、光学导轨、玻罗板、分辨率板。 三、实验原理 (1)显微镜原理: 显微镜是用来观察近处微小物体细节的重要目视光学仪器。它对被观察物进行了两次放大:第一次是通过物镜将被观察物成像放大于目镜的分划板上,在很靠近物镜焦点的位置上成倒立放大实像;第二次是经过目镜将第一次所成实像再次放大为虚像供眼睛观察,目镜的作用相当于一个放大镜。 由于经过物镜和目镜的两次放大,显微镜总的放大率Γ应是物镜放大率β和目镜放大率Γ1的乘积。 Γ=β×Γ 1 绝大多数的显微镜,其物镜和目镜各有数个,组成一套,以便通过调换获得各种放大率。显微镜取下物镜和目镜后,所剩下的镜筒长度,即物镜支承面到目镜支承面之间的距离称为机械筒长。我国标准规定机械筒长为160毫米。 显微镜的视场以在物平面上所能看到的圆直径来表示,其视场受安置在物镜像平面上的专设视场光阑所限制。 显微镜的分辨率即它所能分辨的两点间最小距离: nSinU λδ61.0= 式中:λ为观测时所用光线的波长;nSinU 为物镜数值孔径(NA )。 从上式可见,在一定的波长下,显微镜的分辨率由物镜的数值孔径所决定,光学显微镜的分辨率,基本上与所使用光的波长是一个数量级。为了充分利用物镜的放大率,使被物镜分辨出来的细节,能同时被眼睛所看清,显微镜应有恰当的放大率。综合考虑显微物镜和人眼自身的分辨率,可得出显微镜适当的放大率范围是: 500NA<Γ<1000NA 这个范围的放大率称为有效放大率。如使用比有效放大率更小的放大率,则不能看清物镜已经分辨出的某些细节;如取用高倍目镜得到比有效放大率上限更

《计算机操作系统》实验指导书

《计算机操作系统》 实验指导书 (适合于计算机科学与技术专业) 湖南工业大学计算机与通信学院 二O一四年十月

前言 计算机操作系统是计算机科学与技术专业的主要专业基础课程,其实践性、应用性很强。实践教学环节是必不可少的一个重要环节。计算机操作系统的实验目的是加深对理论教学内容的理解和掌握,使学生较系统地掌握操作系统的基本原理,加深对操作系统基本方法的理解,加深对课堂知识的理解,为学生综合运用所学知识,在Linux环境下调用一些常用的函数编写功能较简单的程序来实现操作系统的基本方法、并在实践应用方面打下一定基础。要求学生在实验指导教师的帮助下自行完成各个操作环节,并能实现且达到举一反三的目的,完成一个实验解决一类问题。要求学生能够全面、深入理解和熟练掌握所学内容,并能够用其分析、设计和解答类似问题;对此能够较好地理解和掌握,并且能够进行简单分析和判断;能够熟练使用Linux用户界面;掌握操作系统中进程的概念和控制方法;了解进程的并发,进程之间的通信方式,了解虚拟存储管理的基本思想。同时培养学生进行分析问题、解决问题的能力;培养学生完成实验分析、实验方法、实验操作与测试、实验过程的观察、理解和归纳能力。 为了收到良好的实验效果,编写了这本实验指导书。在指导书中,每一个实验均按照该课程实验大纲的要求编写,力求紧扣理论知识点、突出设计方法、明确设计思路,通过多种形式完成实验任务,最终引导学生有目的、有方向地完成实验任务,得出实验结果。任课教师在实验前对实验任务进行一定的分析和讲解,要求学生按照每一个实验的具体要求提前完成准备工作,如:查找资料、设计程序、完成程序、写出预习报告等,做到有准备地上机。进行实验时,指导教师应检查学生的预习情况,并对调试过程给予积极指导。实验完毕后,学生应根据实验数据及结果,完成实验报告,由学习委员统一收齐后交指导教师审阅评定。 实验成绩考核: 实验成绩占计算机操作系统课程总评成绩的20%。指导教师每次实验对学生进行出勤考核,对实验效果作记录,并及时批改实验报告,综合评定每一次的实验成绩,在学期终了以平均成绩作为该生的实验成绩。有以下情形之一者,实验成绩为不及格: 1.迟到、早退、无故缺勤总共3次及以上者; 2.未按时完成实验达3次及以上者; 3.缺交实验报告2次及以上者。

《流体力学》课程实验(上机)指导书及实验报告格式

《流体力学》课程实验指导书袁守利编 汽车工程学院 2005年9月

前言 1.实验总体目标、任务与要求 1)学生在学习了《流体力学》基本理论的基础上,通过伯努利方程实验、动量方程实 验,实现对基本理论的验证。 2)通过实验,使学生对水柱(水银柱)、U型压差计、毕托管、孔板流量计、文丘里流量计等流体力学常用的测压、测流量装置的结构、原理和使用有基本认识。 2.适用专业 热能与动力工程 3.先修课程 《流体力学》相关章节。 4.实验项目与学时分配 5. 实验改革与特色 根据实验内容和现有实验条件,在实验过程中,采取学生自己动手和教师演示相结合的方法,力求达到较好的实验效果。

实验一伯努利方程实验 1.观察流体流经实验管段时的能量转化关系,了解特定截面上的总水头、测压管水头、压强水头、速度水头和位置水头间的关系,从而加深对伯努利方程的理解和认识。 2.掌握各种水头的测试方法和压强的测试方法。 3.掌握流量、流速的测量方法,了解毕托管测速的原理。 二、实验条件 伯努利方程实验仪 三、实验原理 1.实验装置: 图一伯努利方程实验台 1.水箱及潜水泵 2.上水管 3.电源 4.溢流管 5.整流栅 6.溢流板 7.定压水箱 8.实验 细管9. 实验粗管10.测压管11.调节阀12.接水箱13.量杯14回水管15.实验桌 2.工作原理 定压水箱7靠溢流来维持其恒定的水位,在水箱下部装接水平放置的实验细管8,水经实验细管以恒定流流出,并通过调节阀11调节其出水流量。通过布置在实验管四个截面上的四组测压孔及测压管,可以测量到相应截面上的各种水头的大小,从而可以分析管路中恒定流动的各种能量形式、大小及相互转化关系。各个测量截面上的一组测压管都相当于一组毕托管,所以也可以用来测管中某点的流速。 电测流量装置由回水箱、计量水箱和电测流量装置(由浮子、光栅计量尺和光电子

电力电子实验指导书完全版

电力电子技术实验指导 书 目录 实验单相半波可控整流电路实 验 实验三相桥式全控整流电路实 验 实验三单相交流调压电路实 验 实验四三相交流调压电路实 验

实验装置及控制组件介绍11

实验一单相半波可控整流电路实验 一、 实验目的 1. 熟悉单结晶体管触发电路的工作原理及各元件的作用; 2. 对单相半波可控整流电路在电阻负载及电阻电感负载时的工作做全面分析; 3. 了解续流二极管的作用; 二、 实验线路及原理 熟悉单结晶体管触发电路的工作原理及线路图,了解各点波形形状。将单结晶体管触发 电路的 输出端“ G'和“K'端接至晶闸管的门极和阴极,即构成如图1-1所示的实验线路。 图1-1单结晶体管触发的单相半波可控整流电路 三、 实验内容 1. 单结晶体管触发电路的调试; 2. 单结晶体管触发电路各点电压波形的观察; 3. 单相半波整流电路带电阻性负载时 Ud/U 2=f (a)特性的测定; 4. 单相半波整流电路带电阻电感性负载时续流二极管作用的观察; 四、 实验设备 1. 电力电子实验台 2. RTDL09实验箱 3. RTDL08实验箱 4. RTDL11实验箱 5. RTDJ37实验箱 6. 示波器; 7. 万用表; 五、 预习要求 1. 了解单结晶体管触发电路的工作原理,熟悉 RTDL09实验箱; 2. 复习单相半波可控整流电路的有关内容,掌握在接纯阻性负载和阻感性负载时, 电路各 部分的电压和电流波形; 立VD 币L 11

3.掌握单相半波可控整流电路接不同负载时 Ud Id的计算方法。 六、思考题 1.单相桥式半波可控整流电路接阻感性负载时会出现什么现象?如何解决? 七、实验方法 1.单相半波可控整流电路接纯阻性负载 调试触发电路正常后,合上电源,用示波器观察负载电压Ud晶闸管VT两端电压波形U VT,调节电位器RP1,观察a =30°、60°、90°、120°、150°、180° 时的Ud U VT 波形,并测定直流输出电压Ud和电源电压U,记录于下表1-1中。 表1-1 2.单结晶体管触发电路的调试 RTDL09的电源由电源电压提供(下同),打开实验箱电源开关,按图1-1电路图接线,负载为RTDJ37实验箱,选择最大的电阻值,调节移相可变电位器RP1,用示波器观察单结晶体管触发电路的输出电压波形(即用于单相半波可控整流的触发脉冲)。 4.单相半波可控整流电路接电阻电感性负载 将负载改接成阻感性负载(由滑动变阻器Rd与平波电抗器串联而成,RTDL08实验箱提供电感)。不接续流二极管VD,在不同阻抗角(改变Rd的电阻值)情况下,观察并记录 a =30°、60°、90 0、120°时的Ud及U VT的波形。 接入续流二极管VD,重复上述实验,观察续流二极管的作用记录于下表计算 1-2 中。 公式:Ud=[0.45*U2*(1+cosa) ]/2 表1-2

电磁场实验指导书及实验报告

CENTRAL SOUTH UNIVERSITY 题目利用Matlab模拟点电荷电场的分布姓名xxxx 学号xxxxxxxxxx 班级电气xxxx班 任课老师xxxx 实验日期2010-10

电磁场理论 实验一 ——利用Matlab 模拟点电荷电场的分布 一.实验目的: 1.熟悉单个点电荷及一对点电荷的电场分布情况; 2.学会使用Matlab 进行数值计算,并绘出相应的图形; 二.实验原理: 根据库伦定律:在真空中,两个静止点电荷之间的作用力与这两个电荷的电量乘积成正比,与它们之间距离的平方成反比,作用力的方向在两个电荷的连线上,两电荷同号为斥力,异号为吸力,它们之间的力F 满足: R R Q Q k F ? 212 = (式1) 由电场强度E 的定义可知: R R kQ E ? 2 = (式2) 对于点电荷,根据场论基础中的定义,有势场E 的势函数为 R kQ U = (式3) 而 U E -?= (式4) 在Matlab 中,由以上公式算出各点的电势U ,电场强度E 后,可以用Matlab 自带的库函数绘出相应电荷的电场分布情况。 三.实验内容: 1. 单个点电荷 点电荷的平面电力线和等势线 真空中点电荷的场强大小是E=kq /r^2 ,其中k 为静电力恒量, q 为电量, r 为点电荷到场点P(x,y)的距离。电场呈球对称分布, 取电量q> 0, 电力线是以电荷为起点的射线簇。以无穷远处为零势点, 点电荷的电势为U=kq /r,当U 取

常数时, 此式就是等势面方程.等势面是以电荷为中心以r 为半径的球面。 平面电力线的画法 在平面上, 电力线是等角分布的射线簇, 用MATLAB 画射线簇很简单。取射线的半径为( 都取国际制单位) r0=, 不同的角度用向量表示( 单位为弧度) th=linspace(0,2*pi,13)。射线簇的终点的直角坐标为: [x,y]=pol2cart(th,r0)。插入x 的起始坐标x=[x; *x].同样插入y 的起始坐标, y=[y; *y], x 和y 都是二维数组, 每一列是一条射线的起始和终止坐标。用二维画线命令plot(x,y)就画出所有电力线。 平面等势线的画法 在过电荷的截面上, 等势线就是以电荷为中心的圆簇, 用MATLAB 画等势 线更加简单。静电力常量为k=9e9, 电量可取为q=1e- 9; 最大的等势线的半径应该比射线的半径小一点 r0=。其电势为u0=k8q /r0。如果从外到里取7 条等势线, 最里面的等势线的电势是最外面的3 倍, 那么各条线的电势用向量表示为: u=linspace(1,3,7)*u0。从- r0 到r0 取偶数个点, 例如100 个点, 使最中心点的坐标绕过0, 各点的坐标可用向量表示: x=linspace(- r0,r0,100), 在直角坐标系中可形成网格坐标: [X,Y]=meshgrid(x)。各点到原点的距离为: r=sqrt(X.^2+Y.^2), 在乘方时, 乘方号前面要加点, 表示对变量中的元素进行乘方计算。各点的电势为U=k8q. /r, 在进行除法运算时, 除号前面也要加点, 同样表示对变量中的元素进行除法运算。用等高线命令即可画出等势线 contour(X,Y,U,u), 在画等势线后一般会把电力线擦除, 在画等势线之前插入如下命令hold on 就行了。平面电力线和等势线如图1, 其中插入了标题等等。越靠近点电荷的中心, 电势越高, 电场强度越大, 电力线和等势线也越密。

(完整版)汽车构造实验指导书(精)

汽车构造实验指导书 李国政编 青岛大学机电工程学院车辆工程系 2006年2月

前言 汽车整车拆装实训课是汽车专业的重要实践环节,它与课堂讲授课密切配合,共同完成教学大纲规定的教学任务。通过实训课,使同学们建立汽车整车构造的实物概念,进一步巩固课堂讲授的知识,更深入的了解汽车各总成部件构造细节及名称,熟悉汽车部件的拆装及操作工艺,为后继专业课程及专业性实习打下基础。 实训课的目的是配合课堂教学、结合实物系统的分解观察掌握汽车主要零部件的功能、组成、结构、类型和工作原理。 实训课的教学内容包括实物讲授和拆装观察分析两部分。 实物讲授是由于有些内容受条件限制,在课堂上难以讲清,故安排在实验课中结合实物进行讲授。 拆装观察是对完整的实物或重要总成分解成零件,然后分析观察零件的形状,安装定位基准,各部件的关系,调整方法和装配工艺,培养学生的实际动手能力和思考分析能力。 为使实训课顺利进行,对学生提出以下要求: 1.实训前要全面复习课堂讲授的有关内容,记住其主要内容。 2.实训中听从教师指导、严格遵守实验室各项规章制度,注意安全。 3.爱护实训教具及设备,与实验课无关的设备不要乱动。 4.在实训中要认真观察分析各零部件,要勤学多问,总结实训收获,认真完成实训报告。 实训地点:车辆实验室

实训一汽车及发动机的总体构造 一、目的 1.通过实训对汽车的组成、总布置型式以及各总成有一个初步认识; 2.了解各组成部分的基本功用及在结构上的相互联系; 3.初步了解不同类型的汽车的结构特征。 二、基础知识 1.汽车总体构造 汽车由许多不同的装置和部件组成,其结构型式和安装位置多种多样。汽车所用的动力装置不同时,其总体构造差异很大。汽车主要由发动机、底盘、车身和电气设备等四部分组成。小轿车还装有空调和其他附属设备。 (1)发动机 使供入其中的燃油燃烧产生动力,是汽车行驶的动力源泉。 (2)底盘 接受发动机的动力,使汽车正常行驶。由传动系、行驶系、转向系和制动系组成。 行驶系—安装部件、支承全车并保证行驶。由车架、车桥、车轮和悬架等组成。 转向系—保证汽车按驾驶员选定的方向行驶。由转向器和转向传动机构组成。 制动系—使汽车能减速行驶以至停车,并保证汽车能可靠停驻。 (3)车身 用以安置驾驶员、乘客或货物。客车和轿车是整体车身;普通货车 车身由驾驶室和货箱组成。 (4) 电气设备 由电源和用电设备组成,包括发电机、蓄电池、起动系、点火系以及汽车的照明、信号装置和仪表等。此外,在现代汽车上愈来愈多装用的各种电子设备:微处理机、中央计算机系统及各种人工智能装置(自诊、防盗、巡航、防抱死、车身高度自调等),显著地提高了汽车的使用性能。 三、实训内容 1.长安6331A型微型客车及日本五十铃的总体结构。 2.北内109发动机、天津夏利轿车发动机及日本皇冠3.0发动机的总体构造。 3.CA1091及桑塔纳汽车模型及部件模型的观察。 四、实训报告 汽车的布置型式通常有几种,各有何优点?实验中各车采取何种布置型式?试述原因。

(完整word版)实训指导书模板

《********》实训指导书 课程名称:**********实训 课程代码:****** 适用专业:*********专业 制定人:*** 审阅人:***(教研室主任)修订时间: 信息科学与工程学院

目录 第一部分实训概述 (1) 一、实训工具/实训设备/实训要求/实训环境(可选或自拟) (1) 二、实训内容和时间安排 (1) 三、实训报告要求 (1) 四、实训成绩评定、考核办法 (1) 第二部分单元实训 (2) 实训(项目)一 ******* (2) 一、实训目的 (2) 二、知识要点 (2) 三、素材准备(可选) (2) 四、实训内容 (2) 五、实训步骤 (2) 六、注意事项(或操作要点) (2) 七、参考效果(可选) (2) 八、拓展训练与思考 (2) 实训(项目)二×××××× (3) 一、实训目的 ....................................... 错误!未定义书签。 二、知识要点 ....................................... 错误!未定义书签。 三、素材准备(可选) ............................... 错误!未定义书签。 四、实训内容 ....................................... 错误!未定义书签。 五、实训步骤 ....................................... 错误!未定义书签。 六、注意事项(或操作要点).......................... 错误!未定义书签。 七、拓展训练与思考 ................................. 错误!未定义书签。第三部分综合实训.. (4) 一、实训目的 (4) 二、知识目标 (4) 三、能力目标 (4) 四、实训内容与步骤 (4) 五、拓展思考 (4)

管理信息系统课程实验指导书2017版

《管理信息系统》课程 上机指导书 学生姓名 指导教师 所属学院 专业班级 经济与管理学院 2017年2月16日

实验一 认识管理信息系统 一、实验目的 (1)能够对管理信息系统有初步的认识; (2)通过网络了解管理信息系统的应用动态。 二、实验内容 (1)网络搜索管理信息系统的动态,以一个系统为主,熟悉该系统的功能 (2)分析各模块中应设计的数据表。 (5)提交书面实验报告。 四、实验步骤 1、根据网上搜索,选定一个具体管理信息系统作为对象(如淘宝平台,本校图书管理系统,教务管理系统); 2、了解各模块的功能并分析各模块中具有的数据表。 五、实验环境(硬/软件要求):微机:每人1台 六、实验报告要求 (1)每个学生完成一份实验报告; (2)实验报告的内容包括:实验内容及目的,操作步骤及运行结果; (3)在实验报告的最后写明实验体会和实验中存在的问题。

实验一 ***管理信息系统规划 一、实验目的 通过对*管理信息系统开发,让学生了解管理信息系统规划工作的重点,掌握系统规划报告说明书的写作能力。 二、实验内容 (1)根据网上搜索了解管理信息系统的应用动态(如淘宝平台,本校图书管理系统,教务管理系统),选定一个具体管理信息系统作为后续研发对象。 (2)进行***管理信息系统总体规划工作:以整个系统为分析对象,确定系统的总体目标、总要求、主要功能结构、性能要求、投资规模、资源分配、可行性等,对系统进行全面规划。本规划内容要求完成以下内容: (1)背景 (2)现行业务状况,存在的问题 (3)说明项目开发的目标(功能、服务范围和质量) (4)项目的可行性分析 (5)拟采用的信息系统的方法 (6)项目小组的角色分配 (7)项目开发过程时间进度、人员、资金安排 三、实验环境 硬/软件要求:微机:每人1台;软件:Windows XP,Office2003或以上(包括Access)四、实验报告 学生提交一份***管理信息系统系统规划书 规划书提交要点: 一、背景 二、现行业务状况,存在的问题 三、说明项目开发的目标和约束 四、项目的可行性分析 五、拟采用的信息系统的方法 六、项目小组的角色分配 七、项目开发过程时间进度、人员、资金安排

相关文档
最新文档