数字电路课设0汽车尾灯
汽车尾灯课程设计

目录摘要 (1)1设计要求与思路 (2)1.1设计目的与要求 (2)1.2设计思路构想 (2)1.2.1汽车尾灯显示状态与汽车运行状态的关系 (2)1.2.2汽车尾灯控制器功能描述 (3)2 单元电路设计及方案比较 (4)2.1 秒脉冲电路的设计 (4)2.2 三进制计数器电路的设计 (6)2.3 开关控制电路的设计 (8)2.4 尾灯状态显示电路的设计 (10)2.5译码与显示驱动电路的设计 (11)3电路仿真与分析 (12)3.1参数计算与器件选择 (12)3.2电路仿真总电路图 (13)3.3汽车尾灯控制器电路的工作原理 (13)4 电路安装与调试 (14)4.1电路的安装 (14)4.2电路的调试 (14)5 对成果的评价及改进 (14)结束语 (15)参考文献 (16)附录1 元件清单 (17)附录2 元器件管脚图 (18)摘要课程设计集数字电子技术、模拟电子技术和电路原理等理论知识于一体,目的是通过实践的方式将理论知识更牢固地掌握,更深地理解课本内容。
培养我们的实际动手能力以及分析、解决问题的能力。
本次课程设计的目的是通过TTL系列产品模拟制作出汽车的尾灯控制器。
通过NE555来制作脉冲产生器,利用J-K触发器改制三进制的计数器和译码器的使用等一系列方法实现对汽车尾灯的模拟。
本实验通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,临时刹车。
关键字:汽车尾灯控制器脉冲产生计数器译码器行驶状况汽车尾灯控制器的设计与制作1 设计要求与思路1.1 设计目的与要求设计目的:设计一个汽车尾灯控制器,实现汽车行驶时尾灯的控制状态。
设计要求:①汽车尾部左右各三个灯②汽车正常行驶时全灭③左转时左边三个灯循环点亮④右转时右边三个灯循环点亮⑤刹车时所有灯同时闪动选择元器件设计电路方案,阐述基本原理,进行仿真与调试,制作实际运行装置。
1.2 设计思路构想总体构想:拟定本实验分为四个步骤进行:第一步设计出秒脉冲电路,第二步设计三进制电路,第三步控制开关的状态组合,第四步设计尾灯状态显示电路。
电子技术课程设计---汽车尾灯设计

数字电子技术课程设计题目:汽车尾灯控制班级:姓名:学号:指导教师:完成时间:汽车尾灯控制电路一.设计任务设计一个汽车尾灯控制电路,用6个发光二极管模拟汽车尾灯,即左尾灯(L1-L3)3个发光二极管;右尾灯(R1-R3)3个发光二极管。
用两个开关分别控制左转弯尾灯显示和右转弯尾灯显示。
当左转弯开关KL打开时,左转弯尾灯显示的3个发光二极管按右循环规律(L1L2L3→L2L3→L3→全灭)显示。
同样,当右转弯开关KR被打开时,右转弯尾灯与左转弯灯相同规律显示,但方向相反(R1→R1R2→R1R2R3→全灭→R1)。
图1 左转弯显示规律图二、设计条件本设计基于数字电子技术基础实验,通过自行设计电路图并在电脑上利用Multisim软件进行仿真。
三、设计要求及原理本课程设计分为实际设计与虚拟仿真两个环节。
根据以上要求,要实现当左转弯开关KL打开时,左转弯尾灯显示的3个发光二极管按右循环规律(L1L2L3→L2L3→L3→全灭→L1L2L3)显示,如图1。
同样,当右转弯开关KR被打开时,右转弯尾灯与左转弯灯相同规律显示,但方向相反。
当KR和KL同时按下时,L1L2L3和R1R2R3均按时钟闪烁。
可先用74138对开关信号译码来产生高低电平,再用74163来计数,最后把产生的数用逻辑器件来实现上述要求。
根据不同的状态,绘制汽车尾灯和汽车运行状态表如下;图2 汽车尾灯控制电路设计总体框图四、设计内容(一).分步设计:1.时钟信号源(CLK )设计:①.设计说明: 在本次实验中,汽车尾灯的闪烁快慢要由计数器74163产生的数的快慢来决定,即与时钟CLK 的频率有关。
在本次设计中,我想利用555定时器产生0.5kHZ 的方波。
②.设计计算公式:高电平时间: 221ln )(C R R t ph += 低电平时间: C R t pl 2=2ln ③.设计最后图形如图(3):图(3)④.仿真波形以及连接图形如图(4):如图(3)所示为在Multisim里仿真时的实际连接电路。
数电汽车尾灯控制电路实验报告

数字电路汽车尾灯控制电路实验报告1. 引言1.1 实验背景汽车尾灯是汽车中重要的安全设备之一,它在夜间或恶劣天气条件下提供后方车辆提示作用,确保行车安全。
掌握数字电路汽车尾灯控制电路的原理和实验方法对于电子工程专业的学生来说至关重要。
1.2 实验目的本实验的目的是通过设计和实现数字电路汽车尾灯控制电路,加深对数电原理的理解,并训练学生的创新思维和动手能力。
2. 设计方案2.1 设计思路本实验中,我们将使用数字逻辑门和时序控制电路来实现汽车尾灯的功能。
通过在适当的时刻点控制LED的亮灭状态,可以实现不同的尾灯显示模式,如刹车灯、示宽灯等。
2.2 实验材料和器件•Arduino开发板•逻辑门集成电路(如74LS08、74LS32等)•LED发光二极管•连接线等2.3 实验步骤1.按照电路图连接电路,将Arduino开发板与逻辑门集成电路相连。
2.根据实验要求,在Arduino开发板上编写程序,通过逻辑门控制LED的亮灭状态。
3.将LED与逻辑门集成电路连接,实现汽车尾灯的显示效果。
4.调试和验证电路的功能,确保尾灯控制电路正常工作。
3. 实验结果与分析3.1 实验过程我们按照上述设计方案进行实验,并在Arduino开发板上编写了相应的程序。
经过调试和验证,我们成功实现了数电汽车尾灯控制电路的功能。
3.2 实验结果我们实现了以下几种尾灯显示模式: 1. 刹车灯:当车辆刹车时,尾灯会快速闪烁。
2. 示宽灯:当车辆转向时,尾灯会交替闪烁。
3. 倒车灯:当车辆倒车时,尾灯会亮起。
4. 位置灯:车辆启动后,尾灯会持续亮起。
3.3 结果分析通过以上实验结果可以看出,我们成功实现了数电汽车尾灯控制电路的功能。
该电路能够根据车辆行驶状态控制尾灯的亮灭状态,达到提醒后方车辆的目的。
4. 实验总结与展望4.1 实验总结通过本实验,我们对数字电路汽车尾灯控制电路有了更深入的了解,掌握了设计和实现该电路的方法和技巧。
同时,我们还培养了动手能力和创新思维,提高了对数字电路原理的理解。
数电课程设计报告-汽车尾灯控制设计

数电课程设计报告-汽车尾灯控制设计————————————————————————————————作者:————————————————————————————————日期:烟台南山学院数电课程设计题目汽车尾灯控制设计姓名:所在学院:自动化工程学院所学专业:班级:学号:指导教师:田老师完成时间: 2010—12-16内容提要课程设计作为数字电子技术和模拟电子技术课程的重要组成部分,目的是一方面使我们能够进一步理解课程内容,基本掌握数字系统设计和调试方法,增加集成电路应用知识,培养我们的实际动手能力以及分析、解决问题的能力。
另一方面爷可以使我们更好地巩固和加深对基础知识的理解,学会设计中小型系统的方法,独立完成调试过程,增强我们理论联系实际的能力,提高电路分析和设计能力。
通过实践应道我们在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。
通过设计,一方面可以加深我们的理论知识,另一方面也可以提高我们考虑问题的全面性,将理论知识上升到一个时间的阶段.设计是工科学生面对的重要课题,经历这个过程才能真切感受到工科的魅力,拉近与生产的距离。
本课程设计是设计一个汽车尾灯的控制电路,实现在不同操作下左右各3个尾灯的不同显示方式:1.在行驶时右转则右边3个灯以:3灯全灭—〉L4亮->L4、L5亮—〉L4、l5、L6亮-〉3灯全灭循环且L1、L2、L3灯一直全灭2.当左转时则左边3个灯以:3灯全灭—>L3亮—〉L2、L3亮->L1、L2、L3亮->3灯全灭循环且L4、L5、L6灯全灭3.当左右2个开关都被借接通时,说明驾驶员是一个外行,紧急闪烁器起作用,6个尾灯大约以1HZ的频率一致地善守着亮与暗的循环4.当驾驶员紧急刹车时,左右两开关均接通六个灯会同时亮这要求我们要正确使用各芯片来实现设计要求,理解实验中用到的各个芯片的引脚和接线及其所能实现的逻辑功能。
在所列出的各项方案中选出最简洁的进行设计。
数电课程设计-汽车尾灯的控制

课程设计任务书学生姓名: *** 专业班级: 电信1405班指导教师: *** 工作单位: 信息工程学院题目: 汽车尾灯控制器的电路设计仿真与制作初始条件:利用中、小规模集成电路芯片7400、7404、74138、7476、7486 和其它器件实现对汽车尾灯显示的控制功能。
电路组成框图如图1 所示。
要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1 周内完成汽车尾灯控制器电路的设计、仿真、装配与调试。
2、技术要求:设汽车尾部左右两侧各有3 个指示灯(用发光管模拟),要求是:①汽车正常行驶时,尾灯全部熄灭。
②当汽车右转弯时,右侧3 个指示灯按右循顺序点亮。
③当汽车左转弯时,左侧3 个指示灯按左循顺序点亮。
④临时刹车时,所有指示灯同时闪烁。
⑤选择电路方案,完成对确定方案电路的设计。
计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。
制作实际运行装置。
3、查阅至少5 篇近5 年参考文献。
按《武汉理工大学课程设计工作规范》要求撰写设计报告书。
全文用A4 纸打印,图纸应符合绘图规范。
时间安排:1)第1-2 天,查阅相关资料,学习设计原理。
2)第3-4 天,方案选择和电路设计仿真。
3)第4-5 天,电路调试和设计说明书撰写。
4)第6 天,上交课程设计成果及报告,同时进行答辩。
指导教师签名:年月日系主任(或责任教师)签名:年月日目录摘要 ............................. 错误!未指定书签。
1.总体设计.......................... 错误!未指定书签。
1.1主要工作安排................ 错误!未指定书签。
1.2设计任务与设计要求.......... 错误!未指定书签。
1.2.1设计任务.............. 错误!未指定书签。
1.2.2设计要求.............. 错误!未指定书签。
数字电子技术课程设计(汽车尾灯控制电路)

湖南工程学院课程设计课程名称数字电子技术课题名称汽车尾灯控制电路专业电气工程及其自动化班级0884学号200813010401姓名简长青指导教师赵振兴2010年10月7日湖南工程学院课程设计任务书课程名称:数字电子技术题目:汽车尾灯控制电路专业班级:电气0884 班学生姓名:简长青学号:01指导老师:赵振兴审批:任务书下达日期2010年9月27日设计完成日期2010年10月7日目录一总体设计思路(含电路原理框图):1.1 电路设计原理框图具体的电路要求及功能表如下:a)输入变量定义:脚踏制动器信号名称:U;U为0时无制动,U为1时制动停车信号名称:S;S为0时停车,S为1时汽车工作左转弯控制信号名称:L;L为1时向左转右转弯控制信号名称:R;R为1时向右转b) 控制电路工作表:1 0 1 0 右侧3只灯按000—100—110—111—000闪,左侧均灭1 0 1 1 两侧6只灯均按000—100—110—111—000闪1 1 0 0 两侧6只灯均亮1 1 0 1 左侧3只灯按000—100—110—111—000闪,右侧均灭1 1 1 0 右侧3只灯按000—100—110—111—000闪,左侧均灭1 1 1 1 两侧6只灯均亮二各个模块的设计2.1 产生000—100—110—111—000的时序电路模块:Q0 Q1 Q2 Q0‘Q1‘Q2‘0 0 0 1 0 01 0 0 1 1 01 1 0 1 1 11 1 1 0 0 0J0=1 K0=Q2、 J1=Q0 K1=Q2、 J2=Q1 K2=1则电路图2.2 当电路输出不是周期性亮灭时而是时钟脉冲频率闪亮均亮或均灭电路S U R L 输出信号0 X X X 时钟脉冲频率闪亮1 0 0 0 01 0 0 1 01 0 1 0 01 0 1 1 01 1 0 0 11 1 0 1 01 1 1 0 01 1 1 1 1经过分析可以得到满足要求的电路图如下图所示:2.3 清零信号模块由于每次控制开关的输入信号发生改变时,如果6只灯的状态不及时清零则输出与要求不符。
数字电子课程设计报告--汽车尾灯控制电路设计
汽车尾灯控制电路设计班级:学号:姓名:指导教师:一、总体设计要求及系统功能描述设计一个汽车尾灯控制的电路;1)用6个发光二极管模拟6个汽车尾灯(左、右各3个,高电平点亮),用2个开关作为左转弯、右转弯控制信号(高电平有效)。
2)当汽车往前行驶时,6个灯全灭;3)汽车左转时,左边三个灯顺序点亮,右边灯则全不亮;反之,右转时,情况相反;4)踩刹车时,汽车灯全亮;5)紧急情况时,左边灯和右边灯都亮,但是都是闪烁状态。
二、系统设计方案论述1)downto 0)y_out STD_LOGIC_VECTOR(2downto 0)右灯输出输出2) 顶层电路图3)设计源程序说明:library ieee;use ieee.std_logic_1164.all;entity weideng isport(zuo,you,clk,en:in std_logic;z_out:out std_logic_vector(2 downto 0);y_out:out std_logic_vector(2 downto 0));end weideng;architecture qiche of weideng issignal zo,yu,sha:std_logic;beginprocess(zuo,you)variable a:std_logic_vector(1 downto 0);------------定义刹车(sha)信号--------------begina:=zuo&you;case a iswhen "00"=>zo<='0';yu<='0';sha<='0';------------若左右信号均为0,则不是刹车信号when "10"=>zo<='1';yu<='0';sha<='0';------------若左为1右为0,也不是刹车信号when "01"=>yu<='1';zo<='0';sha<='0';----------若右为1左为0,不是刹车信号when others=>yu<='1';zo<='1';sha<='1';---------只有左右都是1,才是刹车信号end case;end process;--------------------左边三个灯------------------process(clk,en,sha,zuo,)variable tmp:std_logic_vector(2 downto 0);beginif sha='1' thentmp:="111";--------若输入刹车信号,三个灯全亮elsif clk'event and clk='1' thenif en='1' thenif tmp ="000" thentmp:="111";else tmp:="000";----------若输入紧急(en)信号,灯双闪end if;elsif zuo='0'and you ='0' thentmp:="000";------------若左右输入信号为0时,灯全不亮elsif zuo='1' thenif tmp="000" thentmp:="001";------------若输入左转信号,三个灯顺序点亮elsetmp:=tmp(1 downto 0)&'0';end if;end if;end if;z_out<=tmp;end process;-------------------------右边三个灯-------------------------- process(clk,en,sha,you)variable tmp:std_logic_vector(2 downto 0);beginif sha='1' thentmp:="111";elsif clk'event and clk='1' thenif en='1' thenif tmp="000"thentmp:="111";else tmp:="000";end if;elsif zuo='0' and you='0' thentmp:="000";elsif you='1' thenif tmp="000" thentmp:="100";elsetmp:='0'&tmp(2 downto 1);end if;end if;end if;y_out<=tmp;end process;end qiche;4)仿真图说明在en(紧急情况)为0的前提下,当左右输入信号均为0时,左边三个灯和右边三个灯的输入信号为0,即左边灯和右边灯都不亮;在en为0的前提下,左灯输入信号和右灯输入信号都是1时,左灯和右灯全亮;在en为0的前提下,左灯输入信号为0,右灯输入信号为1时,右灯顺序点亮;在en为0的前提下,右灯输入信号为0,左灯输入信号为1时,左灯顺序点亮;当输入信号en为1时,输出全为双闪。
数电课程设计:汽车尾灯控制电路
课程设计报告课程设计名称: C程序设计系:三系学生姓名:班级: 12通信(1)班学号: 20120306135 成绩:指导教师:开课时间:2012-2013学年二学期目录第一章学生通讯录信息管理系统需求分析 (1)1.1设计题目及要求 (1)1.2设计思路(模块图) (1)第二章学生通讯录信息管理系统概要设计 (2)第三章学生通讯录信息管理系统概要详细设计 (6)第四章学生通讯录信息管理系统测试 (15)小结 (20)致谢 (21)参考文献 (22)第一章学生通讯录信息管理系统需求分析1.1设计题目及要求1.题目:学生通讯录信息管理系统2.功能要求:(1)能建立、修改和增删学生通讯录(2)能够按多种方式进行查询3.其他要求:(1)能够利用所学c语言知识实现模块化程序设计(2)能够编译和组件程序,修改程序中的语言类错误,调试程序中的逻辑类错误,使程序功能实现运行。
(3)作出总结并写好实验报告。
1.2设计思路(模块图)图1-2-1第二章学生通讯录信息管理系统概要设计2.1模块流程图:图2-1-1.22.添加通讯录信息流程图:系统如何实现添加信息功能(图2-2-1)图2-2-23.显示信息流程图:系统如何实现显示信息功能(图2-2-3)图2-2-34.查找信息流程图:系统如何实现查找信息功能(图2-2-4)图2-2-45.修改信息流程图:系统如何实现修改信息功能(图2-2-5)7.保存信息流程图:系统如何实现保存信息功能(图2-2-7)图2-2-7第三章学生通讯录信息管理系统概要详细设计3.1 具体实现#include<stdio.h> /*标准输入输出函数库*/#include<stdlib.h> /*标准函数库*/#include<conio.h> /*屏幕操作函数库*/#include<string.h> /*字符串函数库*/#define INITIAL_SIZE 100 //数组初始大小#define INCR_SIZE 50 //数组每次增加的大小struct addbook_info{char name[20]; //姓名char sex[4]; //性别char age[4]; //年龄char address[20]; //地址char phone[15]; //手机号char qq[15]; //qq号char email[20]; //电子信箱};typedef struct addbook_info AddInfo;extern int numStus; //记录的学生数extern AddInfo *records; //记录学生通讯录信息的数组extern char savedTag; //信息是否已保存的标志,0为已保存,1为未保extern int arraySize; //数组大小void handle_menu(void);int menu_select(void);void input(); //学生信息输入函数void addRecord(void);void display(void);void queryInfo(void);void modifyRecords(void);void removeRecords(void);void saveRecords(void);void quit(void);void showTable(void);int findRecord(char *target,int targetType,int from);void copyRecord(AddInfo *src,AddInfo *dest);#include"address.h"/**********初始化**********/extern int numStus=0; //记录的学生数AddInfo *records=NULL; //记录学生通讯录信息的数组char savedTag=0; //信息是否已保存的标志,1为已保存,0为未保存int arraySize; //数组大小/********** 主函数 **********/int main(){//初始化数组records=(AddInfo*)malloc(sizeof(AddInfo)*INITIAL_SIZE); //开辟存储空间if(records==NULL){printf("memory fail!");exit(-1);}arraySize=INITIAL_SIZE;printf("\n");printf("\t~~~~~~~~~~~~~~~~~~~~~~~~~~~~ \n");printf("\t* 欢迎使用 * \n");printf("\t* 学生通讯录管理程序 * \n");printf("\t* 谢谢! * \n");printf("\t~~~~~~~~~~~~~~~~~~~~~~~~~~~~ \n");printf("\n");handle_menu();}/********** 菜单处理函数 **********/void handle_menu(void){for (; ;){switch(menu_select()){case 1:input(); //输入信息break;case 2:display(); //显示信息break;case 3:queryInfo(); //查找信息break;case 4:modifyRecords(); //修改信息break;case 5:removeRecords(); //删除信息break;case 6:saveRecords(); //保存信息break;case 7:quit(); //结束程序break;}}}/********** 菜单选择函数menu_select **********/ int menu_select(){char s[2];int cn=0;printf("\n");printf("\t*1.添加学生通讯录信息\n");printf("\t*2.显示学生通讯录信息\n");printf("\t*3.查找学生通讯录信息\n");printf("\t*4.修改学生通讯录信息\n");printf("\t*5.删除学生通讯录信息\n");printf("\t*6.保存学生通讯录信息\n");printf("\t*7.结束程序\n");printf("\n\t数字对应功能选择,请选1-7:");for(; ;){gets(s);cn=atoi(s);if(cn<1 || cn>7) printf("\n\t输入错误,重选1-7:");else break;}return cn;}/*********** 结束运行,退出* 参数 void**********/void quit(void){char str[5];if(savedTag==0){printf("是否保存原来的记录?(Y/N)");gets(str);if(str[0]!='n'&&str[0]!='N')saveRecords();}free(records);exit(0);}#include"address.h"/**********添加学生通讯录信息**********/void input(){FILE *fp;int i,number; //输入学生人数printf("请输入录入学生通讯录信息人数:");scanf("%d",&number);for(i=0;i<number;i++){printf("\n");printf("姓名: "); //输入姓名scanf("%s",records[i].name);printf("\n");printf("性别: "); //输入性别scanf("%s",records[i].sex);printf("\n");printf("年龄: "); //输入年龄scanf("%s",records[i].age);printf("\n");printf("地址: "); //输入地址scanf("%s",records[i].address);printf("\n");printf("手机号: "); //输入手机号scanf("%s",records[i].phone);printf("\n");printf("qq号: "); //输入qq号scanf("%s",records[i].qq);printf("\n");printf("电子信箱: "); //输入电子信箱scanf("%s",records[i].email);printf("\n");numStus++;}printf("输入结束!\n");fp=fopen("address","w");for(i=0;i<number;i++){fwrite(&records[i],sizeof(struct addbook_info),1,fp); //从地址中写出addbook_info文件内容//}fclose(fp); //关闭文件printf("请按任意键返回\n");return;}#include"address.h"/**********输出表头**********/void showTable(void){printf("姓名\t性别\t年龄\t地址\t手机号\tqq号\t电子信箱\t");}/**********显示所有学生通讯录信息函数**********/void display(void){int i;if(numStus==0){printf("没有可供显示的记录! ");return;}showTable();for(i=0;i<numStus;i++){//打印学生信息printf("\n%s\t%s\t%s\t%s\t%s\t%s\t%s\n",records[i].name,records[i].se x,records[i].age,records[i].address,records[i].phone,records[i].qq,records[i].emai l);//打印满20个记录后停下来if(i%19==0&&i!=0){printf("输入任一个字符后继续...\n");getch();printf("\n\n");showTable();}}}#include"address.h"/**********按学生姓名或手机号或地址查询学生通讯录信息**********/int findRecord(char *target,int targetType,int from){int i;for(i=from;i<numStus;i++){if((targetType==0&&strcmp(target,records[i].name)==0)||(targetType==1&&strcmp(target,records[i].phone)==0))return i;}return -1;}void queryInfo(void){ int m;printf("\t请选择查询方式:\n");printf("\t*1.姓名\n");printf("\t*2.手机号\n");printf("\t*3.地址\n");printf("\t*4.返回\n");printf("\t请选择:");scanf("%d",&m);while(m!=1&&m!=2&&m!=3&&m!=4){printf("输入错误,请重新选择:");scanf("%d",&m);}if(m==1){char s[20];int i=0;printf("\t请输入想查询的姓名:");scanf("\t%s",s);while(strcmp(records[i].name,s)!=0&&i< numStus) i++;if(numStus ==0){printf("\t通讯录中没有此人!\n");return;}{showTable();printf("\t\t%s\t%s\t%s\t%s\t%s\t%s\t%s\t",records[i].name,records [i].sex,records[i].age,records[i].address,records[i].phone,records[i].qq,records[i].emai l);}} ;if(m==2){char s[20];int i=0;printf("\t请输入想查询的手机号:");scanf("\t%s",s);while(strcmp(records[i].phone,s)!=0&&i< numStus) i++;if (numStus ==0){printf("\t通讯录中没有此人!\n");return;}{showTable();printf("\t\t%s\t%s\t%s\t%s\t%s\t%s\t%s\t",records[i].name,records [i].sex,records[i].age,records[i].address,records[i].phone,records[i].qq,records[i].email);}} ;if(m==3){char s[20];int i=0;printf("\t请输入想查询的地址:");scanf("\t%s",s);while(strcmp(records[i].address,s)!=0&&i< numStus) i++;if(numStus ==0){printf("\t通讯录中没有此人!\n");return;}{showTable();printf("\t\t%s\t%s\t%s\t%s\t%s\t%s\t%s\t",records[i].name,records [i].sex,records[i].age,records[i].address,records[i].phone,records[i].qq,records[i].emai l);}} ;}#include"address.h"/**********修改学生通讯录信息**********/void modifyRecords(void){char s[20];int i=0;printf("\t请输入想修改的记录中的名字:");scanf("%s",s);while(strcmp(records[i].name,s)!=0&&i<numStus) i++;if(numStus==0){printf("\t通讯录中没有此人!\n");return;}printf("\t姓名:");scanf("\t%s",records[i].name);printf("\t性别:");scanf("\t%s",records[i].sex);printf("\t年龄:");scanf("\t%s",records[i].age);printf("\t住址:");scanf("\t%s",records[i].address);printf("\t手机号:");scanf("\t%s",records[i].phone);printf("\tqq号:");scanf("\t%s",records[i].qq);printf("\t电子信箱:");scanf("\t%s",records[i].email);printf("\t修改成功!");}#include"address.h"/**********删除学生通讯录信息**********/void removeRecords(void){char s[20];int i=0,j;printf("\t请输入想删除记录中的名字:");scanf("%s",s);while(strcmp(records[i].name,s)!=0&&i<numStus) i++;if(numStus==0){printf("\t通讯录中没有此人!\n");return;}for(j=i;j<100-1;j++){strcpy(records[j].name,records[j+1].name);strcpy(records[j].sex,records[j+1].sex);strcpy(records[j].age,records[j+1].age);strcpy(records[j].address,records[j+1].address);strcpy(records[j].phone,records[j+1].phone);strcpy(records[j].qq,records[j+1].qq);strcpy(records[j].email,records[j+1].email);} numStus--;printf("\t\t\t已经成功删除!\n");return;}#include"address.h"/**********保存学生通讯录信息**********/void saveRecords( ){FILE * fp;char fname[30];if(numStus==0){printf("没有记录可存! ");}printf("请输入要存入的文件名(直接回车选择文件 addbook_info): "); gets(fname);if(strlen(fname) == 0)strcpy(fname,"addbook_info");if((fp = fopen(fname,"wb")) == NULL){printf("不能存入文件!\n");}printf("\n存文件...\n");fwrite(records,sizeof(AddInfo) * numStus,1,fp);fclose(fp);printf("%d条记录已经存入文件,请继续操作。
数电课程设计_汽车尾灯的控制
课程设计任务书学生姓名: *** 专业班级: 电信1405班指导教师: *** 工作单位: 信息工程学院题目: 汽车尾灯控制器的电路设计仿真与制作初始条件:利用中、小规模集成电路芯片7400、7404、74138、7476、7486 和其它器件实现对汽车尾灯显示的控制功能。
电路组成框图如图1 所示。
要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1 周内完成汽车尾灯控制器电路的设计、仿真、装配与调试。
2、技术要求:设汽车尾部左右两侧各有3 个指示灯(用发光管模拟),要求是:①汽车正常行驶时,尾灯全部熄灭。
②当汽车右转弯时,右侧3 个指示灯按右循顺序点亮。
③当汽车左转弯时,左侧3 个指示灯按左循顺序点亮。
④临时刹车时,所有指示灯同时闪烁。
⑤选择电路方案,完成对确定方案电路的设计。
计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。
制作实际运行装置。
3、查阅至少5 篇近5 年参考文献。
按《武汉理工大学课程设计工作规范》要求撰写设计报告书。
全文用A4 纸打印,图纸应符合绘图规范。
时间安排:1)第1-2 天,查阅相关资料,学习设计原理。
2)第3-4 天,方案选择和电路设计仿真。
3)第4-5 天,电路调试和设计说明书撰写。
4)第6 天,上交课程设计成果及报告,同时进行答辩。
指导教师签名:年月日系主任(或责任教师)签名:年月日目录摘要 (4)1.总体设计 (5)1.1主要工作安排 (5)1.2设计任务与设计要求 (5)1.2.1设计任务 (5)1.2.2设计要求 (5)1.3方案论述与确定 (5)2.主要芯片介绍 (6)2.1 555定时器 (6)2.2 与门74LS08 (8)2.3 异或门74LS86 (9)2.4 二—五进制计数器 (12)2.5 3线-8线译码器74LS138 (12)2.6 四位二进制可预置的同步加法计数器74LS163 (14)3 电路设计 (15)3.1 555时钟脉冲电路模块 (15)3.2 三进制循环控制电路模块 (17)3.3 译码显示电路模块 (18)3.4 总体电路 (18)4.仿真 (19)4.1 仿真软件multisim介绍 (19)4.2 电路仿真 (20)5. 装配与调试 (25)5.1 装配与调试 (25)5.2 实物功能测试 (25)6.总结 (26)摘要本次设计的汽车尾灯控制电路是用数字电路实现的。
课程设计-汽车尾灯控制电路设计
课程设计-汽车尾灯控制电路设计汽车尾灯控制电路是指在汽车照明系统中,以确保行车安全和减少照明系统损耗为目的,实现尾灯的衔接、协调点亮的电路系统。
该电路系统具有可靠的工作性能,可在脉冲信号输入的基础上精确控制尾灯灯光的亮度和频率,从而达到节能减排和安全而又省电的效果。
汽车尾灯控制电路是一种模拟电路,其主要构成由控制器、变频器、电力变换器和LED灯元件构成,以及电池供电系统。
控制器是处理尾灯灯光各种变化信号的核心,可根据实际情况进行照明系统的调节,如行车速度的改变、车辆的夜间行车、城市道路上的车流量等,以保证尾灯灯光的有效控制。
变频器功能是指把低频电压变为高频电压,再通过电力变换器与LED灯元件结合,实现对LED灯元件输出功率的控制和调节,保证LED灯产生不同亮度和频率的灯光。
电池供电系统为汽车尾灯控制电路提供所需电容量,同时兼顾节能减排和省电的设计,使得尾灯更加安全有效。
尾灯控制电路的设计要求除了具有高可靠性之外,可靠的防错性能也必不可少。
首先,输入脉冲信号必须通过电路芯片的转换器从低频变为高频,以防止尾灯的恒流灯光,同时保证尾灯的灵活调节。
其次,电池供电系统必须具备安全可靠的保护功能,防止超流短路,超温和其他可能损坏组件的供电意外情况发生,以保证系统的正常工作性能和可靠性。
最后,LED灯元件设计要求考虑到结构小巧、体积轻、节能耐用的特点,以满足尾灯的模块化设计。
总之,汽车尾灯控制电路是安全驾驶和照明系统可靠性的关键环节,设计要求必须兼顾可靠性、灵活性和节能等多种因素,以保证照明系统的安全性和便捷性。
其中又以输入脉冲信号的转换、变频器技术和LED灯元件设计为核心,可满足对车辆尾灯高性能要求和实现可靠的节能减排效果。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
课程设计任务书 学生姓名: 专业班级:电子科学与技术0803班 指导教师: 工作单位: 信息工程学院 题 目: 汽车尾灯控制器的电路设计 初始条件: 本设计既可以使用中、小规模集成电路芯片7400、7404、74138、7476、7486和其它器件实现对汽车尾灯显示的控制功能。本设计也可以使用单片机系统构建简易频率计。工作电源Vcc为12V。电路组成框图如图1所示: 图1汽车尾灯控制电路框图
要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等
具体要求) 1、课程设计工作量:1周。 2、技术要求:设汽车尾部左右两侧各有3个指示灯(用发光管模拟),要求是: ① 汽车正常行驶时,尾灯全部熄灭。 ② 当汽车右转弯时,右侧3个指示灯按右循顺序点亮。 ③ 当汽车左转弯时,左侧3个指示灯按左循顺序点亮。 ④ 临时刹车时,所有指示灯同时闪烁。 ⑤ 选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。制作实际运行装置。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。
时间安排: 1、 2010 年 6月 28 日集中,作课设具体实施计划与课程设计报告格式的要求说明。 2、 2010 年 6 月 28 日,查阅相关资料,学习电路的工作原理。 2、 2010年 6 月 29日 至 2010 年 6月29 日,方案选择和电路设计。 2、 2010 年 6 月 30日 至 2010 年 7 月 1日,电路调试和设计说明书撰写。 3、 2010 年 7 月 2 日上交课程设计成果及报告,同时进行答辩。
课设答疑地点:鉴主14楼电子科学与技术实验室。 指导教师签名: 年 月 日
系主任(或责任教师)签名: 年 月 日 武汉理工大学《电子科学与技术专业课程设计》课程设计说明书 目 录
摘 要…………………………………………………………………………………Ⅰ ABSTRACT……………………………………………………………………………Ⅱ绪 论…………………………………………………………………………………Ⅲ 1设计内容及要求……………………………………………………………………1 1.1 设计的目的及主要任务………………………………………………………1 2方案论证和确定……………………………………………………………………2 2.1方案论证………………………………………………………………………2 2.2方案确定………………………………………………………………………3 3设计方案及设计……………………………………………………………………4 3.1系统设计框图…………………………………………………………………4 3.2 电路设计………………………………………………………………………4 3.3 单元电路………………………………………………………………………5 4程序设计…………………………………………………………………………… 8 4.1 设计语言C语言的介绍…………………………………………………8 4.2实验程序………………………………………………………………………8 5电路仿真、制作与调试……………………………………………………………10 5.1电路仿真 ……………………………………………………………………10 5.2 电路制作与调试 …………………………………………………………… 13 6课程设计小结……………………………………………………………………14 参考文献…………………………………………………………………………… 15 附录Ⅰ……………………………………………………………………………16武汉理工大学《数字电子技术基础》课程设计说明书
I 摘 要
课程设计作为数字电子技术和模拟电子技术课程的重要组成部分,目的是一方面使我们能够进一步理解课程内容,基本掌握数字系统设计和调试的方法,增加集成电路应用知识,培养我们的实际动手能力以及分析、解决问题的能力。 本设计主要采用的是基于单片机的汽车尾灯控制电路的设计方法,通过设计单片机控制汽车尾灯显示电路,能很好的综合运用我们所学到的单片机,C语言,模拟电路知识,熟悉电子电路设计的基本方法。。 本文介绍了一种以AT89S52单片机为核心的控制电路。试验通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,临时刹车。汽车的尾灯是其运行方式的最直接表示方式,四种不同情况对应四种灯的状态,令行人或其他车辆清晰明白它将要发生的动态变化。从而避免交通事故的发生。设计此电路必须要求严格符合交通规则,尾灯闪亮或熄灭准确,才不会让行人或其他车辆产生误解。
关键词:AT89S52 单片机 发光二极管 开关控制 设计程序 武汉理工大学《数字电子技术基础》课程设计说明书
II Abstract
As digital electronic technology curriculum design and simulation of electronic technology is an important part of the course, the purpose is to hand enables us to further understand the basic content of course, master digital system design and debug method, increase the applications of integrated circuits, cultivate our knowledge of the practical ability and the ability of analyzing and solving problems. This design is mainly adopts the cars are based on single-chip microcomputer control circuit design, the design method of the single chip microcomputer control by car tail lights display circuit, can be very good comprehensively, we have learned the SCM, C, analog knowledge, be familiar with the basic methods of electronic circuit design. This paper introduces a kind of AT89S52 SCM as the core to control circuit. Through the simulation test light-emitting diodes (leds) to realize the automobile tail lights in four cases of normal, left, right, temporary brake. The car is its operation mode are the most direct means, four different situation corresponding four lights, make pedestrians, or other vehicles, it is clear of dynamic change. To avoid traffic accidents. Design the circuit must be in strict compliance with the traffic rules, shining out accurate, or taillights won't let pedestrians, or other vehicles from misunderstandings.
Key words: AT89S52 SCM leds switch control design procedure 武汉理工大学《数字电子技术基础》课程设计说明书
III 绪 论
汽车作为现代交通工具已大量进入人们的生活,随着电子技术的发展,对于汽车的控制电路,也已从过去的全人工开关控制发展到了智能化控制。在夜晚或因天气原因能见度不高的时候,人们对汽车安全行驶要求很高.汽车尾灯控制系统给大家带来了方便。汽车尾灯控制器是随汽车智能化技术的发展而迅速发展起来的,汽车尾灯一般是用基于微处器的硬件电路结构构成,正因为硬件电路的局限性,不能随意的更改电路的功能和性能,且可靠性得不到保证,因此对汽车尾灯控制系统的发展带来一定的局限性。难以满足现代汽车的智能化发展。 随着(EDA)仿真技术的发展,数字系统的设计技术和设计工具发生了深刻的变化。利用硬件描述语言对数字系统的硬件电路进行描述是EDA的关键技术之一。C语言是目前主流的硬件描述语言,它具有很强的电路描述和建模能力,且有与具体硬件电路无关和与设计平台无关的特性.在语言易读性和层次化结构设计方面表现出强大的生命力和应用潜力。 武汉理工大学《数字电子技术基础》课程设计说明书
1 1设计内容及要求 1.1 设计目的及主要任务 1.1.1 设计目的 (1)学会根据已学知识设计具有某一特定功能的电路; (2)学会基本电路的组装与调试。 1.1.2 设计任务及主要技术指标
1、本设计既可以使用中、小规模集成电路芯片7400、7404、74138、7476、7486和其它器件实现对汽车尾灯显示的控制功能。本设计也可以使用单片机系统构建简易频率计。工作电源Vcc 为12V。电路组成框图如图1所示: 图1-1 电路框架图
2、课程设计工作量:1周。 3、技术要求:设汽车尾部左右两侧各有3个指示灯(用发光管模拟),要求是: ① 汽车正常行驶时,尾灯全部熄灭。 ② 当汽车右转弯时,右侧3个指示灯按右循顺序点亮。 ③ 当汽车左转弯时,左侧3个指示灯按左循顺序点亮。 ④ 临时刹车时,所有指示灯同时闪烁。 ⑤ 选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。制作实际运行装置。 4、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。