实验一 一位二进制全减器的设计

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验一、一位二进制全减器的设计

1、实验目的:

(1)掌握Quartus II 的VHDL 文本设计的全过程;

(2)熟练和掌握EDA设计流程;熟悉简单组合电路的设计,掌握系统仿真,学会分析硬件测试结果。

(3)学习PH-1V型实验装置上发光二极管和按键的使用方法。

2、实验内容与要求:

(1) 用文本方法实现半减器,再利用半减器完成全减器

的设计,熟悉层次设计概念;

(2) 给出此项设计的仿真波形;

(3) 选择实验电路NO.1验证, 用发光管指示显示结果。

三、设计原理:全减器可以有2个半减器

和1个或门组成。

(1)半减器真值表:

xx yy Diff1S_out1

0000

0101

1000

1100

(表中Diff1表示本位向高位的借位,S_out1表示本位)

(2)全减器真值表:

x y Sub_in diffr Sub_out

00000

00111

01011

01101

10010

10100

11000

11111

(表中Sub_in表示低位向本位的借位,diffr表示本位向高位的借位,Sub_out表示本位)

四、实验程序:

(1) 实验程序(程序来源:)

(2)对全减器进行原理图编辑:

5、实验步骤:

1.建立工作库文件夹和编辑设计文件

(1)在D盘下建立一个文件夹保存工程文件;

(2)打开QuartusII,建立新的VHDL文件,再打开的页面下输入程序。

2.编译过程

(1)输入完程序之后逐个编译

(2)逐个编译无错之后进行全程编译

3.系统仿真

(1)建立新的波形激励文件

(2)在波形编辑器窗口添加节点

(3)通过Edit->End Time 来设定仿真结束时间

(4)点击save保存

(5)通过Tools下的Simulator Tools项进行仿真,然后观察输出波形。

4.引脚锁定

(1)通过Assignment->Assignment Editor->Pin查找到所有的引脚

(2)选择各个输入输出信号来锁定到不同引脚,进行全编译。

5.编程下载

(1)选择Tools->Programmer菜单,点击Hardware Setup窗口完成硬件设置

(2)点击Start开始编程下载

6、仿真波形分析:

波形分析:用波形与真值表进行比较即可。有波形可以看出,100对应11,是对的。再看111对应11也与理论相符。

引脚的锁定:x锁定为引脚60,y锁定为引脚61,Sub_in锁定为引脚63,Sub_out锁定为引脚169,diffr锁定为引脚167。

7、实验结果:

由编程下载之后实验箱上显示的数据与波形图完全一致,符合全减器真值表。分别按下引脚60(x)、61(y)、63(Sub-in)的不同组合,LED管167、169会相应熄灭或者闪亮。熄灭代表0,闪亮代表1。通过这样就可以用全减器真值表进行比较。

结果证明全减器设计没有问题。

相关文档
最新文档