动态电路实验报告
北交大黑箱实验报告

电分实验报告-黑箱实验一、基本信息⏹ 实验人:吴靖钦⏹ 实验地点:九教南501⏹ 实验时间:每周五晚7点-9点⏹ 实验题目:一阶电路“黑箱”模块的时域测量和辨别⏹ 实验目的:(1)熟练运用动态电路波形测量和时间常数测量。
(2)综合运用一阶动态电路分析理论知识,深入理解动态元件特性和一阶动态电路特有的阶跃响应波形。
⏹ 实验环境:无特别要求,实验室环境即可。
二、预习内容:均采用方案ARC 串:s ts v v t v +-=-τ )(0 RC 并:22)(0s ts v v t v +-=-τ RL 串:s ts v v t v +=-τ )(0 RL 并:22)(0s ts v v t v -=-τ波形图如图:实验电路图:二、实验内容和实验原理(1)二端“黑箱”“黑箱”是指隐藏了连接方式和元件参数的一个电阻和一个动态元件用串/并联方式构成的二端模块。
在本实验中,模块作为被测量和识别的对象。
一个模块中的动态元件可能是电感或电容,与电阻的连接方式可能是串联或并联。
(2)实验任务每组学生随机领取一个“黑箱”模块,利用通用实验板上的一个已知阻值的电阻,串联“黑箱”模块构成被测电路。
实验任务是用时域测量方法,测量二端一阶“黑箱”的外特性,辨别“黑箱”内部元件、元件参数及其连接方式。
“黑箱”模块的两种测量方案如实验图所示。
(3)对实验测量方法的规定在进行实验测量时, 必须将外接电阻与模块串联后接入信号发生器,信号源采用幅度为l V的周期方波, 用示波器测量电路的阶跃响应波形, 通过初始值、稳态值和时间常数的测量来计算和辨別“黑箱”模块内部两个元件的参数值和连接方式。
不允许用万用表等其他仪器或采用频域阻抗测量等方法。
三、实验数据、图片电路连接图:示波器图片:方案A(黑箱接地)四、实验分析:选择外电阻为1kΩ;(1)输入电压源初始值位置对应的输出电压图像上的电压值为V (0+),即“黑箱”内的电阻占电路电压的比值,观察其值为0.5v 。
一阶电路的过渡过程实验报告

一阶电路的过渡过程实验报告一阶电路的过渡过程实验报告引言:电路是电子学的基础,而一阶电路是最基本且常见的电路之一。
通过对一阶电路的过渡过程进行实验研究,可以更好地理解电路的工作原理和性能特点。
本文将介绍一阶电路的过渡过程实验的目的、实验装置、实验步骤、实验结果及分析,并对实验中遇到的问题进行讨论。
实验目的:1. 了解一阶电路的基本原理和性能特点;2. 研究一阶电路的过渡过程,掌握其响应特性;3. 探究不同参数对一阶电路过渡过程的影响。
实验装置:1. 信号发生器:用于产生输入信号;2. 一阶电路:包括电阻、电容等元件;3. 示波器:用于观测电路的输入输出信号。
实验步骤:1. 搭建一阶电路:根据实验要求,选择适当的电阻和电容值,按照电路图搭建一阶电路;2. 连接信号发生器和一阶电路:将信号发生器的输出端与一阶电路的输入端相连;3. 连接示波器:将示波器的探头分别连接到一阶电路的输入端和输出端;4. 设置信号发生器的参数:根据实验需要,设置信号发生器的频率、幅值等参数;5. 观测电路的过渡过程:调整示波器的触发方式和时间基准,观测电路的输入输出信号,并记录数据;6. 改变电阻或电容值:在实验过程中,可以改变电阻或电容的值,观察其对过渡过程的影响;7. 数据分析:根据实验数据,分析一阶电路的过渡过程特性,并进行讨论。
实验结果及分析:通过实验观测和数据记录,我们得到了一阶电路的过渡过程的波形图和相关数据。
根据波形图,我们可以看到电路的过渡过程包括上升过程和下降过程。
上升过程是指电路输出信号从低电平逐渐上升到稳定的高电平的过程;下降过程则是指电路输出信号从高电平逐渐下降到稳定的低电平的过程。
在过渡过程中,我们可以观察到以下几个重要的参数:1. 上升时间(Rise Time):指电路输出信号从低电平上升到高电平所需的时间;2. 下降时间(Fall Time):指电路输出信号从高电平下降到低电平所需的时间;3. 峰值时间(Peak Time):指电路输出信号达到峰值的时间;4. 峰值幅值(Peak Amplitude):指电路输出信号的最大幅值;5. 调整时间(Settling Time):指电路输出信号从过渡过程到达稳态所需的时间。
实验报告 跑马灯

实验报告跑马灯实验报告:跑马灯引言:跑马灯作为一种常见的室内装饰和广告展示工具,广泛应用于商场、剧院、车站等公共场所。
本实验旨在探究跑马灯的工作原理和设计过程,并通过实际搭建跑马灯模型进行验证。
一、跑马灯的工作原理跑马灯是通过一组灯泡或LED灯组成的,它们按照一定的顺序依次亮灭,从而形成连续的动态效果。
跑马灯的工作原理主要包括电路控制和程序设计两个方面。
1. 电路控制:跑马灯的电路控制是通过继电器或集成电路实现的。
继电器是一种电磁开关,通过控制电磁铁的通断来控制灯泡的亮灭。
而集成电路则是通过逻辑门和计时器等元件实现灯泡的顺序控制。
2. 程序设计:跑马灯的程序设计是通过编写一段简单的代码来实现的。
在代码中,通过控制灯泡或LED灯的亮灭时间和顺序来实现跑马灯效果。
常见的程序设计语言如C、Python等都可以用来编写跑马灯的代码。
二、跑马灯的设计过程跑马灯的设计过程包括灯泡或LED灯的选型、电路设计、程序编写和外壳制作等步骤。
1. 灯泡或LED灯的选型:在跑马灯的设计中,选择合适的灯泡或LED灯是非常重要的。
灯泡的亮度、寿命和能耗等指标需要进行综合考虑。
而LED灯则具有节能、寿命长和颜色丰富等优点,因此在现代跑马灯设计中更加常见。
2. 电路设计:电路设计是跑马灯设计中的关键环节。
在电路设计中,需要考虑灯泡或LED灯的亮灭顺序、时间间隔和电源供应等因素。
通过合理的电路设计,可以实现跑马灯的稳定运行和灯泡的长寿命。
3. 程序编写:程序编写是跑马灯设计中的另一个重要环节。
通过编写一段简单的代码,可以控制灯泡或LED灯的亮灭顺序和时间间隔。
程序编写需要考虑灯泡或LED灯的数量和控制方式等因素,以实现预期的跑马灯效果。
4. 外壳制作:外壳制作是跑马灯设计中的最后一步。
通过设计和制作合适的外壳,可以保护电路和灯泡或LED灯,同时也可以增加跑马灯的美观性。
外壳的材料可以选择塑料、金属或木材等,根据实际需要进行选择。
EDA设计课程实验报告数码管动态显示实验报告

EDA设计课程实验报告实验题目:数码管动态显示实验学院名称:专业:电子信息工程班级:姓名:高胜学号小组成员:指导教师:一、实验目的学习动态扫描显示的原理;利用数码管动态扫描显示的原理编写程序,实现自己的学号的显示。
二、设计任务及要求1、在SmartSOPC实验箱上完成数码管动态显示自己学号的后八个数字。
2、放慢扫描速度演示动态显示的原理过程。
三、系统设计1、整体设计方案数码管的八个段a,b,c,d,e,f,g,h(h是小数点)都分别连接到SEG0~SEG7,8个数码管分别由八个选通信号DIG0~DIG7来选择,被选通的数码管显示数据,其余关闭。
如果希望8个数码管显示希望的数据,就必须使得8个选通信号DIG0~DIG7分别被单独选通,并在此同时,在段信号输入口SEG0~SEG7加上该对应数码管上显示的数据,于是随着选通信号的扫描就能实现动态扫描显示的目的。
虽然每次只有1个数码管显示,但只要扫描显示速率足够快,利用人眼的视觉余辉效应,我们仍会感觉所有的数码管都在同时显示。
2、功能模块电路设(1)输入输出模块框图(见图1)图1(2)模块逻辑表达(见表1)表1(数码管显示真值表)clk_1k dig seg↑01111111 C0↑10111111 F9注:数码管显示为01180121(3)算法流程图(见图2)(4)Verilog源代码module scan_led(clk_1k,d,dig,seg); //模块名scan_ledinput clk_1k; //输入时钟input[31:0] d; //输入要显示的数据output[7:0] dig; //数码管选择输出引脚output[7:0] seg; //数码管段输出引脚reg[7:0] seg_r; //定义数码管输出寄存器reg[7:0] dig_r; //定义数码管选择输出寄存器reg[3:0] disp_dat; //定义显示数据寄存器reg[2:0]count; //定义计数寄存器assign dig = dig_r; //输出数码管选择assign seg = seg_r; //输出数码管译码结果always @(posedge clk_1k) //定义上升沿触发进程begincount <= count + 1'b1;endalways @(posedge clk_1k)begincase(count) //选择扫描显示数据3'd0:disp_dat = d[31:28]; //第一个数码管3'd1:disp_dat = d[27:24]; //第二个数码管3'd2:disp_dat = d[23:20]; //第三个数码管3'd3:disp_dat = d[19:16]; //第四个数码管3'd4:disp_dat = d[15:12]; //第五个数码管3'd5:disp_dat = d[11:8]; //第六个数码管3'd6:disp_dat = d[7:4]; //第七个数码管3'd7:disp_dat = d[3:0]; //第八个数码管endcasecase(count) //选择数码管显示位3'd0:dig_r = 8'b01111111; //选择第一个数码管显示3'd1:dig_r = 8'b10111111; //选择第二个数码管显示3'd2:dig_r = 8'b11011111; //选择第三个数码管显示3'd3:dig_r = 8'b11101111; //选择第四个数码管显示3'd4:dig_r = 8'b11110111; //选择第五个数码管显示3'd5:dig_r = 8'b11111011; //选择第六个数码管显示3'd6:dig_r = 8'b11111101; //选择第七个数码管显示3'd7:dig_r = 8'b11111110; //选择第八个数码管显示endcaseendalways @(disp_dat)begincase(disp_dat) //七段译码4'h0:seg_r = 8'hc0; //显示04'h1:seg_r = 8'hf9; //显示14'h2:seg_r = 8'ha4; //显示24'h3:seg_r = 8'hb0; //显示34'h4:seg_r = 8'h99; //显示44'h5:seg_r = 8'h92; //显示54'h6:seg_r = 8'h82; //显示64'h7:seg_r = 8'hf8; //显示74'h8:seg_r = 8'h80; //显示84'h9:seg_r = 8'h90; //显示94'ha:seg_r = 8'h88; //显示a4'hb:seg_r = 8'h83; //显示b4'hc:seg_r = 8'hc6; //显示c4'hd:seg_r = 8'ha1; //显示d4'he:seg_r = 8'h86; //显示e4'hf:seg_r = 8'h8e; //显示fendcaseendendmodule四、系统调试(1)仿真代码`timescale 1ns/1nsmodule scan_ledfz;reg clk_1k;reg[31:0] d;wire[7:0] dig;wire[7:0] seg;parameter dely=100;scan_led u1(clk_1k,d,dig,seg);always #(dely/2)clk_1k=~clk_1k;initial beginclk_1k=0;d=32'h01180134;#dely ;#dely ;#dely ;#dely ;#dely ;#(dely*20);#dely $finish;endinitial $monitor($time,,,"%b,%d,%h,%h",clk_1k,d,dig,seg); endmodulemodule scan_led(clk_1k,d,dig,seg); //模块名scan_ledinput clk_1k; //输入时钟input[31:0] d; //输入要显示的数据output[7:0] dig; //数码管选择输出引脚output[7:0] seg; //数码管段输出引脚reg[7:0] seg_r; //定义数码管输出寄存器reg[7:0] dig_r; //定义数码管选择输出寄存器reg[3:0] disp_dat; //定义显示数据寄存器reg[2:0] count=3'b000; //定义计数寄存器assign dig = dig_r; //输出数码管选择assign seg = seg_r; //输出数码管译码结果always @(posedge clk_1k) //定义上升沿触发进程begincount <= count + 1'b1;endalways @(posedge clk_1k)begincase(count) //选择扫描显示数据3'd0:disp_dat = d[31:28]; //第一个数码管3'd1:disp_dat = d[27:24]; //第二个数码管3'd2:disp_dat = d[23:20]; //第三个数码管3'd3:disp_dat = d[19:16]; //第四个数码管3'd4:disp_dat = d[15:12]; //第五个数码管3'd5:disp_dat = d[11:8]; //第六个数码管3'd6:disp_dat = d[7:4]; //第七个数码管3'd7:disp_dat = d[3:0]; //第八个数码管endcasecase(count) //选择数码管显示位3'd0:dig_r = 8'b01111111; //选择第一个数码管显示3'd1:dig_r = 8'b10111111; //选择第二个数码管显示3'd2:dig_r = 8'b11011111; //选择第三个数码管显示3'd3:dig_r = 8'b11101111; //选择第四个数码管显示3'd4:dig_r = 8'b11110111; //选择第五个数码管显示3'd5:dig_r = 8'b11111011; //选择第六个数码管显示3'd6:dig_r = 8'b11111101; //选择第七个数码管显示3'd7:dig_r = 8'b11111110; //选择第八个数码管显示endcaseendalways @(disp_dat)begincase(disp_dat) //七段译码4'h0:seg_r = 8'hc0; //显示04'h1:seg_r = 8'hf9; //显示14'h2:seg_r = 8'ha4; //显示24'h3:seg_r = 8'hb0; //显示34'h4:seg_r = 8'h99; //显示44'h5:seg_r = 8'h92; //显示54'h6:seg_r = 8'h82; //显示64'h7:seg_r = 8'hf8; //显示74'h8:seg_r = 8'h80; //显示84'h9:seg_r = 8'h90; //显示94'ha:seg_r = 8'h88; //显示a4'hb:seg_r = 8'h83; //显示b4'hc:seg_r = 8'hc6; //显示c4'hd:seg_r = 8'ha1; //显示d4'he:seg_r = 8'h86; //显示e4'hf:seg_r = 8'h8e; //显示fendcaseendendmodule位码代码仿真代码`timescale 1ns/1nsmodule smg_tp; //测试模块的名字reg [2:0] c; //测试输入信号定义为reg型wire[7:0] dig; //测试输出信号定义为wire型parameter DEL Y=100; //延时100秒wei u1(c,dig); //调用测试对象initial begin //激励波形设定c=3'b0;#DEL Y c=3'b001 ;#DEL Y c=3'b010 ;#DEL Y c=3'b100 ;#DEL Y c=3'b101 ;#DEL Y c=3'b110 ;#DEL Y c=3'b111 ;#DEL Y $finish;endinitial $monitor($time,,,"dig=%d,c=%b ",dig,c); //输出格式i定义endmodulemodule wei(c,dig); //命名模块名字input[2:0] c;output[7:0] dig; //定义输入与输出reg[7:0] dig_r;reg[2:0] c_r; // 定义dig_r与c_r2个reg型数据assign dig=dig_r; //将reg型数据转化为wire型数据always @(*) //检测c_r的数据是否变化begin c_r=c;case (c_r)3'b000:dig_r=8'b11111110; //c_r的数据变化而dig_r对于的数据变化3'b001:dig_r=8'b11111101;3'b010:dig_r=8'b11111011;3'b011:dig_r=8'b11110111;3'b100:dig_r=8'b11101111;3'b101:dig_r=8'b11011111;3'b110:dig_r=8'b10111111;3'b111:dig_r=8'b01111111;default: dig_r=8'b11111111;endcase //结束case语句end //结束always语句endmodule //结束程序译码器代码仿真代码`timescale 1ns/1nsmodule duan_tp; //测试模块的名字reg[3:0] a; //测试输入信号定义为reg型wire[7:0] seg; //测试输出信号定义为wire型parameter DEL Y=100; //延时100秒duan u1(a,seg); //调用测试对象initial begin //激励波形设定a=4'b0;#DELY a=4'b0001;#DELY a=4'b0010;#DELY a=4'b0011;#DELY a=4'b0100;#DELY a=4'b0101;#DELY a=4'b0110;#DELY a=4'b0111;#DELY a=4'b1000;#DELY a=4'b1001;#DELY a=4'b1010;#DELY a=4'b1011;#DELY a=4'b1100;#DELY a=4'b1101;#DELY a=4'b1110;#DELY a=4'b1111;#DELY $finish;endinitial $monitor($time,,,"seg=%d,a=%b",seg,a); //输出格式i定义endmodulemodule duan(a,seg); //命名模块名字input[3:0] a;output[7:0] seg; //定义输入与输出reg[7:0] seg_r;reg[3:0] a_r; // 定义seg_r与a_r2个reg型数据assign seg=seg_r; //将reg型数据转化为wire型数据always @(*) //检测c_r的数据是否变化begin a_r=a;case(a_r) //七段译码4'b0000:seg_r = 8'hc0; //显示04'b0001:seg_r = 8'hf9; //显示14'b0010:seg_r = 8'ha4; //显示24'b0011:seg_r = 8'hb0; //显示34'b0100:seg_r = 8'h99; //显示44'b0101:seg_r = 8'h92; //显示54'b0110:seg_r = 8'h82; //显示64'b0111:seg_r = 8'hf8; //显示74'b1000:seg_r = 8'h80; ///显示84'b1001:seg_r = 8'h90; //显示94'b1010:seg_r = 8'h88; //显示a4'b1011:seg_r = 8'h83; //显示b4'b1100:seg_r = 8'hc6; //显示c4'b1101:seg_r = 8'ha1; //显示d4'b1110:seg_r = 8'h86; //显示e4'b1111:seg_r = 8'h8e; ///显示f endcase //结束case语句end //结束always语句endmodule //结束程序(2)仿真波形图(3)引脚图五、实验感想通过这次实验,让我学习动态扫描显示的原理;利用数码管动态扫描显示的原理编写程序,实现自己的学号的显示。
电路实验实验内容提要

电路元件伏安特性的测绘实验目的1. 学会识别常用电路元件的方法。
2. 掌握线性电阻、非线性电阻元件伏安特性的逐点测试法。
3. 掌握实验装置上直流电工仪表和设备的使用方法。
原理说明任何一个二端元件的特性可用该元件上的端电压U与通过该元件的电流I之间的函数关系I=f(U)来表示,即用I-U平面上的一条曲线来表征,这条曲线称为该元件的伏安特性曲线。
实验设备实验内容1. 测定线性电阻器的伏安特性2.测定半导体二极管的伏安特性3.测定稳压二极管的伏安特性思考题1. 线性电阻与非线性电阻的概念是什么?电阻器与二极管的伏安特性有何区别?2. 设某器件伏安特性曲线的函数式为I=f(U),试问在逐点绘制曲线时,其坐标变量应如何放置?3. 稳压二极管与普通二极管有何区别,其用途如何?实验目的验证基尔霍夫定律的正确性,从而加深对基尔霍夫定律的理解。
实验内容和步骤1、在储存板上取出相应的电阻元件盒和电流插座元件盒,在九孔实验板上按照图8-1联接好电路,E1、E2电源按表8-1要求调整。
图12、检查电路连接无误后,翻开稳压电源开关,观察E1和E2电流表有无异常现象。
无异常后,按实验步骤用数字电流表插入电流插座,分别测量各支路电流。
3、分别读出三个电流表数值I1、I2、I3,记入表8-1。
4、用电压表分别测量三个电阻上的电压U AB、U BD、I CB记入表8-1。
5、以上实验步骤按表8-1中E1、E2条件重复测量,并将测量数据记入表8-1。
表8-1实验报告1、根据图1先计算各支路电流I1、I2、I3,与电流表读数比拟,核对在节点B是否∑I入=∑I出,验证第一定律的正确性。
2、根据回路电压定律,对回路BADB和回路BCDB进展计算,并与实测量比拟,验证第二定律的正确性,即∑IR=∑E。
3、上述验证中假设有误差,试分析误差产生的原因。
实验目的1、通过实验验证戴维南定理,加深对等效电路概念的理解。
2、学习用补偿法测量开路电压。
电路实验报告及总结(3篇)

第1篇一、实验目的本次实验旨在通过搭建和测试电路,加深对基本电路理论的理解,掌握电路分析和实验操作技能,包括电路元件的识别、电路连接、电路参数测量以及电路故障排查等。
二、实验原理本实验涉及的基本电路包括电阻、电容、电感等基本元件的串联、并联和组合电路,以及基本的放大电路、滤波电路和振荡电路。
通过这些基本电路的学习和实验,可以了解电路的工作原理和性能特点。
三、实验仪器与设备1. 数字万用表2. 示波器3. 信号发生器4. 电阻、电容、电感等基本元件5. 电路板6. 连接线四、实验内容及步骤1. 基本元件识别与测量- 识别电阻、电容、电感等基本元件的规格和参数。
- 使用数字万用表测量电阻、电容、电感的实际值。
2. 串联电路- 搭建一个简单的串联电路,包括电阻、电容和电感。
- 使用示波器观察电路的输出波形,分析电路的频率响应。
3. 并联电路- 搭建一个简单的并联电路,包括电阻、电容和电感。
- 使用示波器观察电路的输出波形,分析电路的频率响应。
4. 放大电路- 搭建一个简单的共射极放大电路,使用三极管作为放大元件。
- 调整电路参数,观察输入信号和输出信号的关系,分析电路的放大倍数和频率响应。
5. 滤波电路- 搭建一个简单的低通滤波电路,使用RC网络。
- 调整电路参数,观察滤波效果,分析电路的截止频率和滤波特性。
6. 振荡电路- 搭建一个简单的RC振荡电路,使用运算放大器作为振荡元件。
- 调整电路参数,观察振荡波形,分析电路的振荡频率和稳定性。
五、实验数据与分析1. 基本元件测量- 电阻、电容、电感的实际值与标称值对比,分析误差来源。
2. 串联电路- 通过示波器观察输出波形,分析电路的频率响应,与理论值对比。
3. 并联电路- 通过示波器观察输出波形,分析电路的频率响应,与理论值对比。
4. 放大电路- 通过示波器观察输入信号和输出信号的关系,分析电路的放大倍数和频率响应。
5. 滤波电路- 通过示波器观察滤波效果,分析电路的截止频率和滤波特性。
电路实验指导书-4个实验

实验一叠加原理一、实验目的1、学会使用直流稳压电源和万用表2、通过实验证明线性电路的叠加原理二、实验设备1、双路直流稳压电源一台2、数字万用表一块3、实验电路板一块三、实验原理由叠加原理:在线性电路中,有多个电源同时作用时,在电路的任何部分产生的电流或电压,等于这些电源分别单独作用时在该部分产生的电流或电压的代数和。
为了验证叠加原理,实验电路如图1-1所示。
当1E 和2E 同时作用时,在某一支路中所产生的电流I ,应为1E 单独作用在该支路中所产生的电流I '和2E 单独作用在该支路中所产生的电流I ''之和,即I =I '+I ''。
实验中可将电流表串联接入到所测量的支路中,分别测量出在1E 和2E 单独作用时,以及它们共同作用时的电流值来验证叠加原理。
2E 四、实验内容及步骤1、直流稳压电源和万用表的使用参见本书的附录一、和附录二,掌握直流稳压电源和万用表的使用。
图1-1叠加原理实验电路2、验证叠加原理实验电路如图1-1所示,1E 、2E 由直流稳压电源供给。
1E 、2E 两电源是否作用于电路,分别由开关1S 、2S 来控制。
实验前先检查电路,调节两路稳压电源使V 121=E 、V 62=E ,进行以下测试,并将数据填入表1-1中。
(1)1E 单独作用时(1S 置“1”处,2S 置“'2”处),测量各支路的电流。
(2)2E 单独作用时(1S 置“1'”处,2S 置“2”处),测量各支路的电流。
(3)1E 、2E 共同作用时(1S 置“1”处,2S 置“2”处),测量各支路的电流。
表1-1数据记录与计算1I (mA )2I (mA)3I (mA)电源电压测量计算误差测量计算误差测量计算误差V 121=E V 62=E VE 6E V,1221==五、预习要求1、认真阅读本书附录中对稳压电源的介绍,掌握稳压电源的使用方法。
2、认真阅读本书附录中对万用表的介绍,掌握测量直流电压、电流,交流电压及电阻值的使用方法。
电路实验实验报告

电路实验实验报告篇一:电路实验报告数字电路实验报告姓名:田月皎学号:XX080432201 学院:信息学院专业:运算机科学与技术指导教师:邹尔宁协助指导教师:XX年 12 月 28 日实验一经常使用仪器仪表利用一、实验目的:熟悉经常使用仪器仪表的利用二、实验器材:数字万用表,数字电路实验箱三、实验内容:熟悉万用表的功能及利用一、测电压〔直流电压测量〕二、测量电阻四、实验原理分析:〔一〕观看和了解数字万用表的构造一、熟悉数字万用表数字万用表的表头是灵敏电流计。
表头上的表盘印有多种符号,刻度线和数值。
符号A一V一Ω表示这只电表是能够测量电流、电压和电阻的多用表。
表盘上印有多条刻度线,其中右端标有“Ω〞的是电阻刻度线,其右端为零,左端为∞,刻度值散布是不均匀的。
符号“-〞或“DC〞表示直流,“~〞或“AC〞表示交流,“~〞表示交流和直流共用的刻度线。
刻度线下的几行数字是与选择开关的不同档位相对应的刻度值。
表头上还设有机械零位调整旋钮,用以校正指针在左端指零位。
2 、选择开关万用表的选择开关是一个多档位的旋转开关。
用来选择测量工程和量程。
〔如图3一4〔B〕〕。
一样的万用表测量工程包括:“mA〞;直流电流、“V〞:直流电压、“V〞:交流电压、“Ω〞:电阻。
每一个测量工程又划分为几个不同的量程以供选择。
二、表笔和表笔插孔表笔分为红、黑二只。
利历时应将红色表笔插入标有“+〞号的插孔,黑色表笔插入标有“-〞号的插孔。
〔二〕万用表的利用方式一、应检查表针是不是停在表盘左端的零位。
如有偏离,可用小螺丝刀轻轻转动表头上的机械零位调整旋钮,使表针指零 2 、将表笔按上面要求插入表笔插孔3 、将选择开关旋到相应的工程和量程上就能够够利用了〔三〕测试结果五实验总结:通过这次实验,了解了万用表的利用,明白了如何用万用表测量电阻,电压,等数据,稳固了电路根底。
实验二门电路功能测试一实验目的:〔1〕明白得TTL和CMOS一般门电路的参数含义〔2〕把握TTL和CMOS 一般门电路的利用方式〔3〕把握分析一般门电路逻辑功能的一样方式〔4〕明白得TTL和CMOS一般门电路参数的一样分析方式二、实验元器件:?一、四双输入与非门 74LS00 ×1片二、电阻100Ω×1只 ?3、电子电路实验箱 1个 ?4、数字万用表 1个三、实验内容:一、与非门逻辑功能测试 ? 二、与非门电压传输特性四、实验原理分析:一、与非门逻辑功能测试 (1)实验电路图与非门逻辑功能分析(a)器件顶视引脚图 (b)测试电路(2) 实验芯片 74LS00芯片 (3)实验进程? 一、参照与非门逻辑功能分析电路图,一只74LS00芯片中含有四个一样的双输入与非门? 二、依照电路图,将线连接正确,确保电路无误后可通电? 3、变换单刀双掷开关的状态,用直流电压表测试电路的输出电压〔4〕测试二、与非门电压传输特性 (1)实验电路图分析与非门电压传输特性电路〔2〕实验进程依照电路,在0~5V 间慢慢伐整输入的电流电压,将随之转变的数据记入测试结果表〔3〕测试结果五、实验总结:通过这次实验,学会用74LS00芯片做该实验研究“与非门电压传输特性〞,将可变电压从5V慢慢伐整到0V,电压在1V时跳变。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
电路实验报告动态电路的研究上课时间:周三下午第一节执笔人:段杰学号:201011010103 班级:物理1001 执笔人:龚之珂学号:201011010104 班级:物理1001一.示波器及信号发生器的的认识1.示波器的认识该示波器面板图如图2.8.1所示。
一.示波器的各按钮、旋钮功能定义POWER:电源开关,按下后仪器通电。
INTEN:亮度旋钮,顺时针旋转,扫描线亮度增加。
READOUT:文字显示旋钮,调整屏幕上显示的文字亮度。
FOCUS:聚焦旋钮,调整扫描线以及文字的清晰程度。
TRACE ROTATION:扫描线调节旋钮,当扫描线不水平时,可用它调整。
VOLTS/DIV(V ARIABLE):Y轴灵敏度调节及微调。
旋转可调节Y轴灵敏度,调节时,屏幕左下角通道电压/分度因子值相应改变,按下再旋转,可作灵敏度微调,此时不能进行Y轴信号幅度测量。
DC/AC:直流/交流耦合方式切换,直流时,信号直接输入;交流时,信号通过电容输入。
GND:接地,按下后相应输入端接地,输入信号与Y轴放大器断开,屏幕左下分度因子后显示⊥符号。
ADD:相加按钮,按下后,屏幕显示Y1+Y2波形,同时屏幕下方通道2前出现+号,即显示+2。
INV:反相按钮,按下后,Y2波形反相,同时屏幕下方显示“+2: ”。
若此时ADD也按下,则屏幕显示Y1-Y2波形。
CAL:校准信号接口,输出1kHz、0.6V方波校准信号。
⊥:地线接口。
CH1,CH2端口:输入接口,接输入信号。
POSITION:位置旋钮,垂直位置调节。
CH1,CH2按钮:通道1、2开启按钮,按下时,相应通道工作,屏幕最下一行左边显示该通道数1:或2。
FINE:位置微调按钮,按下,FINE指示灯亮时转动POSITION,可作水平位置微调,再按一次,FINE灯灭。
TIME/DIV:时间分度调节旋钮,旋转时,调节选择扫描速度,按下后再旋转可作微调。
扫描时间因子值显示在屏幕左上角,单位是s、ms或μs。
微调时数值前为“>”号,不微调是“∞”号。
MAG×10 :扫描速度放大按钮,按下后,扫描速度放大10倍,屏幕中心波形向左右展开,屏幕右下角显示MAG。
TRIG LEVEL:触发电平旋钮,旋转可调节触发电平,可使图像稳定。
SLOPE :触发沿选择按钮,用于选择触发沿,上升沿“+”,下降沿“-”。
SOURCE :触发源选择按钮,每按一下改变一次。
选择触发信号来源(CH1、CH2、LINE或EXT),LINE是以电源频率作触发源,EXT为外触发。
触发源符号显示在屏幕左上角扫描因子后面。
COUPL :耦合方式选择按钮,选择触发耦合模式(AC、DC、HF-R、LF-R)。
TV :视频触发模式选择按钮,视频触发模式有BOTH、ODD、EVEN或TV-H。
READY:单次触发状态指示灯,灯亮时,处于单次触发准备状态,触发后灯变暗。
TRIG’D:触发指示灯,触发脉冲来时,灯亮,此时所显示的图形才稳定。
A :扫描显示,按下后Y1、Y2两通道为电压对时间的显示方式。
X-Y :X-Y显示,按下后,CH1信号加到X轴(水平轴),CH1、CH2或ADD信号加到Y轴(垂直轴),用于观察李萨如图形或磁滞回线等。
AUTO或NORM :自动/正常按钮,任一按钮按下均为连续扫描状态,相应指示灯亮。
AUTO适用于50Hz以上信号,NORM适合于低频信号。
SGL/RST :按下选择单次扫描状态,且处于等待状态,READY灯亮,单次扫描后灯灭。
二.示波器的光标测量功能“FUNCTION”功能选择键,用于光标测量调节,使用说明如下:按下“ V× t×OFF”,以选择 V(电压差测量)、 t(时间间隔测量)或OFF(关闭测量)。
当选择 t时,屏幕显示两条竖直的水平测量光标H1、H2;选 V时,屏幕显示两条水平的垂直测量光标V1、V2。
转动“FUNCTION”旋钮,可调整光标位置。
每按一次“FUNCTION”,测量光标按原转动方向移动一步;持续转动“FUNCTION”,光标快速移动。
1. V测量按“ V× t×OFF”,以选择 V测量方式。
此时屏幕下方倒数第二行显示“ V1=…V, V2=…V”, V1为CH1信号的测量值, V2为CH2信号的测量值。
按“TCK/C2”以选择V-TRACK(光标跟踪方式),屏幕右上角显示f:V-TRACK,此时转动“FUNCTION”,两垂直测量光标V1、V2同时移动,可将V1移至待测量的一点;再按“TCK/C2”,选择V-C2(只移动光标V2),屏幕右上角显示f:V-C2,转动“FUNCTION”,移动V2至另一待测量点,被测波形两测量点之间的电压差即显示在屏幕下方。
2. t测量按“ V× t×OFF”,以选择 t测量方式。
此时屏幕下方倒数第三行显示“ t=…ms(µs),1/ t=…kHz”。
按“TCK/C2”以选择H-TRACK(光标跟踪方式),屏幕右上角显示f: H-TRACK,转动“FUNCTION”,两光标H1、H2一起移动,将H1移至待测量的一点;再按“TCK/C2”,选择H-C2方式,屏幕右上角显示f:H-C2,转动“FUNCTION”,只移动H2,将H2移至另一待测量点,两点间时间差即可测量出来。
2.信号发生器的认识1.菜单、数据、功能显示区2.功能键3.手轮4.输出通道A5.按键区6.上档(Shift)键7.选项键8.触发键9.程控键10.输出通道B菜单显示功能项目表功能连续SINE扫描SWEEP调制AM/FM猝发BURST键控KEYNG外测EXCNT项目A 路频率CHAFREQA 路频率CHAFREQA 路频率CHAFREQA 路频率CHAFREQA 路频率CHAFREQ外部频率EXTFREQB 路频率CHBFREQ始点频率STRTFREQB 路频率CHBFREQA 路计数CHACOUNT始点频率STRTFREQ外部周期EXTPERIDB 路波形CHBWAVEF终点频率STOPFREQB 路波形CHBWAVEFA 路间隔CHATIME终点频率STOPFREQC 路频率CHCFREQ步长频率STEPFREQA 路频偏CHADEVIAA 路单次CHAONCEA 路相移CHAPHASEC 路频偏CHCDEVIAA 路间隔CHATIMEA 路深度CHADEPTHA 路间隔CHATIMEA 路偏移CHAOFSETA 路方式CHAMODE2.3.1.1 功能键主菜单正弦SINE(Sine)方波SQUR(Square)扫描SWEEP(Sweep)调制AM/FM (尚未触发) 调幅AM ON(Amplitude Modulation) 调频FM ON(Frequency Modulation) 猝发BURST(Burst)键控KEYNG(Keying)外测EXCNT(External Count)2.3.1.2 选项键子菜单A 路CHA(Channel A)B 路CHB(Channel B)始点STRT(Start )终点STOP(Stop)步长STEP(Step)外部EXT(External)频率FREQ(Frequency)周期PERID(Period)幅度AMPL(Amplitude)波形WAVEF(Waveform)方式MODE(Mode)偏移OFSET(Offset)间隔TIME(Time)计数COUNT(Count)相移PHASE(Phase)脉宽DUTY(Tuty)深度DEPTH(AM Depth)频偏DEVIA(FM Deviation)2.3.1.3 标志符S(Shift)上档键R(Remote)程控C(Calibration)校准2.3.1.4 工作状态ERROR (Error )运行出错(出错号*)F(A)SWEEP 频率(幅度)扫描BURST (Burst )猝发FSK (Frequency Shift keying )频移键控ASK (Amplitude Shift keying )幅移键控PSK (Phase Shift keying )相移键控2.3.1.5 幅度值格式p-p(Peak to Peak)幅度峰峰值rms(Root-mean-square)幅度有效值(均方根值)2.3.2 键盘说明:仪器前面板上共有20个按键(见图1),按键功能功能如下:【频率】【幅度】键:频率和幅度选择键。
【0】【1】【2】【3】【4】【5】【6】【7】【8】【9】键:数字输入键。
【MHz】【kHz】【Hz】【mHz】键:双功能键,在数字输入之后执行单位键功能,同时作为数字输入的结束键。
直接按【MHz】键执行“Shift”功能,直接按【kHz】键执行“选项”功能,直接按【Hz】键执行“触发”功能。
【./-】键:双功能键,在数字输入之后输入小数点,“偏移”功能时输入负号。
【< 】【>】键:光标左右移动键。
【功能】键:主菜单控制键,循环选择六种功能。
【选项】键:子菜单控制键,在每种功能下循环选择不同的项目。
【触发】键:在“扫描”“调制”“猝发”“键控”“外测”功能时作为触发启动键。
【Shift】键:上档键(显示“S”标志),按【Shift】键后再按其他键,分别执行该键的上档功能。
2.3.3 常用操作:下面举例说明常用操作方法,可满足一般使用的需要,如果遇到疑难问题或较复杂的使用,可以仔细阅读第三章用户指南中的相应部分。
开机后,仪器进行自检初始化,进入正常工作状态,自动选择“连续”功能,A 路输出。
2.3.3.1 A路功能设定A 路频率设定:设定频率值3.5kHz【频率】【3】【.】【5】【kHz】。
A 路频率调节:按【<】或【>】键使光标指向需要调节的数字位,左右转动手轮可使数字增大或减小,并能连续进位或借位,由此可任意粗调或细调频率。
A 路周期设定:设定周期值25ms【Shift】【周期】【2】【5】【ms】。
A 路幅度设定:设定幅度值为3.2V【幅度】【3】【.】【2】【V】。
A 路幅度格式选择:有效值或峰峰值【Shift】【有效值】或【Shift】【峰峰值】。
A 路衰减选择:选择固定衰减0dB(开机或复位后选择自动衰减AUTO)【Shift】【衰减】【0】【Hz】。
A 路偏移设定:在衰减选择0dB 时,设定直流偏移值为-1V【选项】键,选中“A 路偏移”,按【-】【1】【V】。
恢复初始化状态:初始化状态参数见1.4 条【Shift】【复位】。
A 路波形选择:在输出路径为A 路时,选择正弦波或方波【Shift】【0】或【Shift】【1】。
A 路方波占空比设定:在A 路选择为方波时,设定方波占空比为65%【Shift】【占空比】【6】【5】【Hz】。