数电课程设计十秒倒计时定时器

数电课程设计十秒倒计时定时器
数电课程设计十秒倒计时定时器

辽宁师范大学

《数字电路》课程设计

(09级本科)

题目: 定时器1

学院:物理与电子技术学院

专业:电子信息工程

班级:09.3

学号:14

级!

姓名: 张宁

指导

教师:赵静邱红张卓

2

完成日期:2011年10 月27 日

一?设计内容及要求

10 秒的倒计时定时器,倒计时要求用数码显示,当

定时到1秒时,有声音提示,提示声音为0.5秒,当倒计时到0时停止计数

二.总体方案设计

由设计内容及要求,我设计了一个以NE555构成的多谐

振荡电路,来发出一秒间隔的脉冲;用74LS192进行倒计时,通过74LS47连接一个数码显示器;由74LS192发出的高低电平经过逻辑电路变化,连接74LS121来控制蜂鸣器在1秒

时响。

三.单元模块设计.

1.以NE555构成的多谐振荡器

NE555的震荡器在本电路中的周期T=C(R1+R2)=1S 图二

图三为多谐振荡电路 R1和R2, C 的值确保震荡周期为1 秒,图三的右下角为复位电路,与下一部分一同介绍。 2.倒计时电路

图5-1 7 11.SI92的引和扌*列及逻辑符巧

I%1

HI l_d I H

L L TUT ?1 Qi 口。

CP (> OPu

PO

OJ

QO Qi

jr

1

一匕 f

3 2 P2 P3

6

Q2 7

Q3

CPu CPn

MR

TCu TC D

12

13

L_r —

Po “就

Fil rial pin

10

11 —

14-

图四

由74LS192的真值表图四可以看出,若想让元件工作在减计数状态MR PL 非,CPu的值必须分别为0,1,1。

由要求可以看出,192的初始必须是九,所以加了一个复位电路,确保初始值是9.计数器输入端P0,1,2,3对应接高低低高电平。Q0, Q1,Q2,Q3为计数器输出端接到74LS47上。NE555的3号管脚与74LS192的4浩管脚相连。一秒发出一个脉冲,74LS192开始倒计时。

4.逻辑电路

逻辑电路的作用在于将74LS192输出为一,即 Q3Q2Q1Q0=0001时输给报警电路一个负脉冲。

图六

3.显示电路

TJ

i t i

* ■■ 桶厶

甲?

z 『

bn

y

图七

图八

图九

图九管脚功能描述: 管脚3( A )、4( A )是负边沿触发的输入端; 管脚5( B )是同相施密特触发器的输入端,对于慢变化的边 沿也有效;

5?报警电路

103

□ ---- □—

------- --------

□ -------

"3 TJT

GND ——

Q

Q

NC

B

12

8

74LS12111

10

四路抢答器

数字逻辑课程设计报告 学校:诚毅学院专业:计算机0991 指导老师:刘扬课题:四路抢答器姓名:乔飞学号:2009957007

多路智能竞赛抢答器设计 一、设计内容及要求: 设计内容:本课题要求设计一台可供4名选手参加比赛的智力竞赛抢答器。 设计要求: 1.基本功能 (1)抢答器同时供4名选手或4个代表队比赛,分别用4个按钮S0 ~ S3表示。 (2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。用来控制系统清零(编号显示数码管灭灯)和抢答的开始。 (3)抢答器具有锁存与显示功能。即抢答开始后,选手按动按钮,锁存相应的编号,并在编号显示器上显示该编号。同时封锁输入编码电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清除为止。 2.扩展功能(选做) (1)抢答器具有定时抢答功能。要求定时器开始倒计时,并用定时显示器显示倒计时时间,同时扬声器发出音响,音响持续0.5秒。 (2)参赛选手在设定时间(9秒)内抢答,抢答有效,扬声器发出音响,音响持续0.5秒,同时定时器停止倒计时,编号显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (3)如果定时抢答时间已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续0.5秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 二、抢答器的组成框图 定时抢答器的总体框图如图1所示,它由主体电路和扩展电路两部分组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成定时抢答的功能。 图1所示的定时抢答器的工作过程是:接通电源时,节目主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯,定时显示器显示设定的时间,当节目主持人宣布抢答题目后,说一声“抢答开始”,同时将控制开关拨到“开始”位置,扬声器给出声响提示,抢答器处于工作状态,定时器倒计时。当定时时间到,却没有选手抢答时,系统报警,并封锁输入电路,禁止选手超时后抢答。当选手在定时时间内按动抢答键时,抢答器要完成以下四项工作:

数电课程设计--简易电子琴

目录 1 设计任务 (1) 1.1 基本任务 (1) 1.2 扩展任务 (1) 2 设计方案原理 (1) 3 单元电路的设计 (2) 3.1 多谐振荡器 (2) 3.2 琴键开关 (3) 3.3 扩音器(喇叭) (4) 3.4 器件选择 (4) 4 电路图的绘制 (5) 5 电路的仿真及调试 (6) 6 体会 (6) 参考文献 (8)

1设计任务 电子琴是一种很简单的电子产品,目前市场上所售的电子琴多为基于单片机所设计的。本次课设要求利用数电知识,设计一个能奏出八个音阶的电子琴。虽然没有基于单片机的电子琴那么多的功能,但是电子琴的基本功能是可以满足的。 本次设计的主要内容为:根据数电课程所学内容,结合其他相关课程知识,设计一个简易电子琴,以加深对单片机知识的理解,锻炼实践动手能力。 本次设计的任务为: 1.1基本任务 ①具备8个按键,能够分别较准确地弹奏出1?1八个音符。 ②选择电路方案,完成对确定方案电路的设计。计算电路元件参 数与元件选择、并画出总体电路原理图,阐述基本原理。用 Proteus或MULTISIM软件完成仿真,并按规定格式写出课程设计 报告书。 1.2扩展任务 ①能够弹奏出至少21个音符(三个音阶)。 ②能够较便捷地完成音阶的升降。(按一个开关实现升8度,按另一个开关实现降 8度) 2设计方案原理 本方案为利用555多谐振荡器能输出脉冲信号的特性,通过改变振荡器外接电阻的阻值来改变振荡器输出脉冲的频率,驱动喇叭发出各种音阶。电子琴所用琴键即为改变电阻阻值的开关,通过改变阻值使输出与琴键音阶相对应。

原理框图如下: 图1原理框图 3单元电路的设计 3.1多谐振荡器 利用多谐振荡器产生周期脉冲电路图如下图所示 图2 多谐振荡器电路实现 图中引脚功能: 1脚:GND或Vss)外接电源负端VSS或接地,一般情况下接地。 2脚:TR低触发端。 3脚:OUT(或Vo)输出端。 4脚:Rd是直接清零端。当R端接低电平,则时基电路不工作,此时不论TR、TH 处于何电平,时基电路输出为“ o”,该端不用时应接高电平。 5脚:CO或VC)为控制电压端。若此端外接电压,则可改变内部两个比较器的

四路抢答器课程设计报告

四 路 抢 答 器 设 计 实 验 报 告 信息科学技术学院自动化*班 ****

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、 调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。 1、设计一个可供4人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。

3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动“开始”开关后,定时器开始减计。 5、设定的抢答时间,选手可以抢答,这时定时器开始工作,显示器上显示选手 的和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时扬 声器报警发出声音,定时器上显示0。 三、元件清单:

中衡数电课设四路抢答器的【设计明细】

4路抢答器的设计 绪论: 随着经济水平的不断提高,当今的社会竞争日益激烈,选拔人才,评选优胜,知识竞赛之类的活动愈加频繁,智力竞赛是一种生动活泼的教育方式,人们在物质文明得到满足的同时更注重精神文明的追求,各种节目不断涌现,提高节目的质量尤为重要。本次设计是针对活动中用到的抢答器而设计的。抢答器是为竞赛参赛者答题时进行抢答而设计的一种优先判决器电路,广泛应用于各种知识竞赛、文娱活动等场合。通过抢答和必答两种答题方式能引起参赛者和观众的极大兴趣,并且能在极短的时间内,使人们迅速增加一些科学知识和生活常识。 现在的抢答器有着数字化,智能化的方向发展,这就必然提高了抢答器的成本。鉴于现在小规模的知识竞赛越来越多,操作简单,经济实用的小型抢答器必将大有市场本文设计的抢答器,电路简单,成本较低,操作方便,灵敏可靠,具有较高的推广价值。 抢答器在竞赛中有很大用处,它能准确、公正、直观地判断出第1抢答者。通过抢答器的指示灯显示手段指示出第1抢答者[1]。本论文设计宗旨在培养学生综合模拟、数字电路知识,解决电子信息方面常见实际问题的能力,掌握一般电子电路设计方法与设计步骤。促使学生积累实际电子制作经验,准备走向更复杂更实用的应用领域。目的在于巩固基础、注重设计、培养技能、追求创新、走向实用。 功能简介:参加竞赛者对主持人提出的问题要在短时间内作出判断,并按下抢答按键回答问题。当第一个人按下按键后,同时电路将其他抢答按键封锁,使其不起作用。主持人有开时间和复位键,按下开时间后才能开始抢答,否者犯规。抢答前主持人操作开关使抢答有效,显示灯全熄灭。当四人中有人抢答有效时,其对应的显示灯亮,此时其余的开关不起作用,即其对应的显示灯灭。

电子抢答器数电课程设计

设计题目:智力竞赛电子抢答器 设计要求及技术指标: 1、抢答器电路分为抢答电路设计和必答电路设计。 2、抢答电路设计具体要求: (1)抢答组数分为四组,序号为1~4。 (2)优先判断与指示电路设计。 (3)主持人清除上次抢答结果的电路设计。 (4)主持人给选手打分电路与选手得分累计显示电路设计。 3、必答电路设计具体要求: (1)30秒必答倒计时电路设计。 (2)超时短暂报警。 第 1 章电路设计简介 1 原理电路设计: 1.1 电路总体工作框图 图1:课程设计的总体框图

整个电路框图如上图所示,主要可分为三个部分,第一部分为四路选手抢搭电路,这部分电路主要采用了四个74LS595来实现四位选手仅选可选通一路的功能实现,并结合使用多个四路与非门(即74LS10)来实现其对计时电路的控制。第二部分为计时电路,其通过两个级联的74LS161与555定时器来实现计时功能,并通过其对于声音警示电路控制。第三部分为选手计分电路,需用了可加可减的74LS192来实现对于选手的加减积分功能。整个电路在学校提供的开发板上,其中所需使用的LED灯、按钮按照开发板作相应的调整。 第 2 章硬件电路的组成 1电路工作原理 当第一位选手按下按钮,74LS373锁存器构成的选通电路就会将低电平传至二极管led的负极,此时若有其他选手再按下按钮,其会导致74LS373处于锁存数据状态,从而使已经亮的小灯不会受到其他选手按钮的影响,另一方面已经选通一路会通过锁存器阻止其他路数据的传入。另一方面四位按钮通过控制74LS161来实现对计时电路的控制,以使得当有选手按下按钮时计时才开始。 计时电路由两个异步清零的模十计数器构成,对其清零通过对于其清零端与使能端置低电平完成,而两位已内置好74LS48的数码管通过与74LS161的输出管脚来实现时间的显示。因为电路要求30秒计时,所以使用计时十位的74LS161的低两位作为是否到达30s的判断信号,当Q1、Q0均为1的时候就是到达30s,此时通过与非门输出来控制扬声器以及停止计时。 选手计分电路通过使用可加可减的74LS192来完成,当其5管脚为上升沿是,其数值加一;而当4管脚为上升沿时,其数值减一。而上升的产生则通过使用电源、地与一个按钮完成。选手分数的显示通过使74LS192的输出管脚与已内置好74LS48的数码管相连来完成。 总体电路的设计思路如上,后期搭建电路过程中依据实际情况做了小幅调整,当整体思路未变。 1.1总体电路原理图如下:

4路抢答器数字电路课程设计

课题名称:数显抢答器的设计

数字电子课程设计任务书

目录 1绪论 1.1 摘要 (4) 1.1 设计题目:抢答器电路设计 (4) 1.2 设计任务和要求 (4) 1.3 方案比较 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案 (5) 2.2 硬件设计 (6) 3软件设计 (12) 3.1 单元电路设计 (12) 3.1.1 抢答电路 (12) 3.1.2 定时电路 (14) 3.1.3 报警电路 (15) 3.1.4 时序控制电路 (15) 4课程设计体会 (17) 5参考文献 (18)

摘要 随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易及各种智力竞赛等。在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大的兴趣。而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般要进行必答和抢答,对必答一般有时间限制,到时有声响提示;对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员。一般抢答器由很多门电路组成,线路复杂,可靠性低,特别是抢答路数增多时,实现起来更加困难。本文介绍了一种利用数字电路实现的抢答系统,具有很强的实用性。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能,且一次抢答的时间为3秒。当主持人启动“开始”键后,要求定时器立即进行减计时,并用显示器显示通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0并闪烁。经过布线、焊接、调试等工作后数字抢答器成形。

数电课程设计抢答器

数电课程设计——抢答器 1220223 16 左杉2014.6.25 设计目的 掌握四人智力竞赛抢答器电路的设计方法。 设计任务与要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮S0 ~ S4表示。,按钮的编号与选手的编号对应。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始控制开关S。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 一.抢答器的基本功能 1.设计一个抢答器,可同时供四名选手或四个代表队参加比赛,编号为一,二,三,四,各用一个抢答按钮,分别用四个开关表示。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

3.抢答器具有数据锁存和显示的功能,抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,并且给出出声响提示,封锁输入电路,实现优先锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。 二.使用元件 芯片:74ls75,74ls147,74ls48,74ls08,74ls04,74ls00 其他器件:5个单刀单掷开关,一个7段数码管,一个蜂鸣器,一个三极管,导线若干。 三.具体原理为 1)抢答器电路 该部分主要是由74LS75实现的,此元件是低端有效。当四个选手抢答时,输入的信号为“1”,用74LS20将这四个选手在75芯片对应的非输出端与主持人的主控开关相与非,接入75的使能端(E0/1和E2/3),这样就通过“0”,把75锁定,完成锁定功能。

四路竞赛抢答器设计

四路竞赛抢答器设计与仿真。 一、设计题目:四路竞赛抢答设计与仿真 二、设计要求 设计并仿真能容纳四组参赛队参赛的声光显示抢答器,要求: 1)主持人按下复位后,允许开始抢答, 2)有人抢答成功,即发出光、声报警信号,并封锁其他参赛队抢答信号的输入 主要器件 1)74LS175、74LS04; 2)发光二极管、蜂鸣器、三极管等。

三、题目分析 为达到设计要求可以有两种方案可以选择 方案1:采用CD4511芯片作为抢答信号的触发、锁存和译码输出。这样虽然比较简便,但实际在实现锁存功能时比较繁琐难实现。 方案2:采用集成4D触发器来完成抢答部分。虽然元件较多,但在实现锁存功能时可以简单的实现。 经过对比两方案的优缺点,决定采用抢答信号锁存简单实现的方案2。然后利用软件Multisim来进行仿真调试,再进行逐步改进。智力竞赛是在竞赛中分成几组参加,我设计的为四组,这时针对主持人提出的问题,各组一般进行抢答,对于抢答,需要一种逻辑电路抢答器作为裁判员。先由主持人控制主电路,各组再进行抢答,优先抢答者抢到并回答问题解除抢答信号后,电路才恢复下一次抢答。 以下是我设计的智力竞赛抢答器的主要设计思路:主持人控制开关接地与四组开关接5V 电压,我集成4D触发器74LS175,起到优先抢答的作用。利用当其中一组抢答即对应的开关关闭,对应的锁存输入端为高电平,对应的输出端也为高电平,经过与门,实现锁存功能。这样,当一组抢答时其它组就被屏蔽了。举个例子来说,若一组得到抢答权,则由于接上电压该组输出高电压,又由于锁存器的锁存原因,其它二组,三组,四组输出的为低电平,与一组相连的发光二极管会发光,同时通过或非门与报警电路相连,使之发出连续响声。因为由两个或门与译码器连接,译码器是输入高电平有效,而第一组输出高电平时,对应的LED 亮,说明改组拥有回答问题的权利。当主持人的控制开关复位时,各组的抢答者就开始抢答,当主持人的控制开关清零复位时,开始下一轮抢答。 以上就是我设计的竞赛抢答器的设计思路。

数字四路抢答器设计方案

数字四路抢答器设计方案 一、设计任务 设计并制作一个四路抢答器。 二、设计要求 1.抢答器供4人比赛用,分别有A,B,C,D表示。 2.当按下清零开关时电路复位,这时可以进行下一轮比赛。 3.抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,在LCD数码管上显示, 且蜂呜器发出声音。 注:选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 三、设计方案与总体设计 1.本设计主要由COMS系列数字集成电路CD4511、CD4001、NE555等组成。 2.其工作原理为:接通电源后,将开关拨到”清除”状态,抢答器处于禁止状态,编号显示器不亮;将开关置于“开始”状态,抢答器开始工作。在抢答时,抢答器完成:优先判断、编号锁存、编号显示、蜂呜发音。当一轮抢答之后,如果再次抢答必须再次操作“清除”和“开始”状态开关抢答器才能工作。 四、单元电路设计 1.开关、编码电路 电路中,R1、R2、R3、R4、用于分压,当任一开关按下时,相应的输出为高电平,否则为低电平。电路直接把每个开关对应的BCD8421码中为“1”的隔着二极管连到对应的线上(只有一个为高电平的可省略二极管),其中二极管的作用是防止短路。当某个开关按下时,与它相连的输出线将为高电平,其它输出线为低电平,输出既为这个开关的8421码(BCD码)。 2.编码表 (1)这部分电路要求将编码电路送入8421码,译为十进制数(对应各个抢答者的编号)并驱动七段数码显示管显示出答题者的编号。CD4511是一块BCD-十进制译码/驱动器,并带有锁存埠。其引脚排列图如图所示:

CD4511引脚排列图: (2)显示电路如下: 3.控制电路 (1)这部分电路的作用完成三个任务:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是使其它选手按键操作无效;三是防止提前按键。(2)控制电路图

数电课程设计数字电子时钟样本

数字逻辑课程设计说明书 题目: 多功能数字钟 专业: 计算机科学与技术 班级: 姓名: 学号: 完成日期: -9 一、设计题目与要求

设计题目: 多功能数字钟 设计要求: 1.准确计时, 以数字形式显示时、分、秒的时间。 2.小时的计时能够为”12翻1”或”23翻0”的形式。 3.能够进行时、分、秒时间的校正。 二、设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对标准频率?( 1HZ) 进行计数的计数电路。由于计数的起始时间不可能与标准时间( 如北京时间) 一致, 故需要在电路上加一个校时电路。图 1 所示为数字钟的一般构成框图。 图1 数字电子时钟方案框图

⑴多谐振荡器电路 多谐振荡器电路给数字钟提供一个频率1Hz 的信号, 可保证数字钟的走时准确及稳定。 ⑵时间计数器电路 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成。其中秒个位和秒十位计数器、分个位和分十位计数器为60 进制计数器。而根据设计要求, 时个位和时十位计数器为24 进制计数器。 ⑶译码驱动电路 译码驱动电路将计数器输出的8421BCD 码转换为数码管需要的逻辑状态, 而且为保证数码管正常工作提供足够的工作电流。 ⑷数码管 数码管一般有发光二极管( LED) 数码管和液晶( LCD) 数码管。本设计提供的为LED数码管。 2.数字钟的工作原理 ⑴多谐振荡器电路 555 定时器与电阻R1、 R2, 电容C1、 C2 构成一个多谐振荡器, 利用电容的充放电来调节输出V0, 产生矩形脉冲波作为时钟信号, 因为是数字钟, 因此应选择的电阻电容值使频率为1HZ。 ⑵时间计数单元 六片74LS90 芯片构成计数电路, 按时间进制从右到左构成从低位向高位的进位电路, 并经过译码显示。在六位LED 七段显示起

四人抢答器plc课程设计

课程设计说明书 题目名称:四组抢答器plc课程设计 系部:机械工程系 专业班级:机械化13-1班 学生姓名: 学号:2013233 指导教师:全瑞琴 完成日期:2017年1月8号

新疆工程学院 课程设计评定意见 设计题目四组抢答器plc课程设计 系部机械工程系专业班级机械化13-1班学生姓名学生学号2013233 评定意见: 评定成绩: 指导教师(签名):年月日

(此页背书) 评定意见参考提纲: 1、学生完成的工作量与内容是否符合任务书的要求。 2、学生的勤勉态度。 3、设计或说明书的优缺点,包括:学生对理论知识的掌握程度、实践工作能力、表现出的创造性和综合应用能力等。

新疆工程学院 机械工程系系(部)课程设计任务书 2015-2016 学年第一学期2016 年 1 月10日 教研室主任(签名)系(部)主任(签名)

摘要 随着微处理器、计算机和数字通讯技术的飞速发展,计算机控制已扩展到了所有控制领域。而实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先选择这个题目之后我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路然后仔细分析PLC控制的四路智力抢答器的工作原理以及它的一些工作过程分析后得 出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要9个,输入端口需要6个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点因此我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活维护使用方便等特点。 关键词: 可编程控制器抢答器 PLC 模拟电路数字电路

四路抢答器完整版.docx

华北科技学院 课程设计说明书 班级:电子 B071姓名:郭亚立设计题目:四路智能抢答器 设计时间:2010.1.9至 2010.1.22学号:200703014138 指导教师:杜志伟 评语: 评阅成绩:评阅老师:

华北科技学院课程设计报告 四路抢答器设计报告 目录 一、设计任务和要求: ...................................................................................................................- 3 - 1.1设计任务 ..................................................................................................................................- 3 - 1.2设计要求 ..................................................................................................................................- 3 - 二、设计方案的选择与论证...........................................................................................................- 4 - 2.1方案的选择、论证 ..................................................................................................................- 4 - 2.2设计总方案 ..............................................................................................................................- 4 - 三、电路设计计算与分析.................................................................................................................- 5 - 3.1抢答器控制电路设计 ..............................................................................................................- 5 - 3.1.1优先编码器 74LS148 .....................................................................................................- 7 - 3.1.2锁存器 74LS279 ............................................................................................................- 9 - 3.1.374LS121 单稳态触发器: (10) 3.2定时时间电路的设计 (10) 3.2.1计数器 74LS192 (12) 3.3控制电路和报警电路 (13) 3.3.1振荡电路 (17)

数电课程设计心得(精选多篇)

数电课程设计心得(精选多篇) 第一篇:数电课程设计心得 1、通过这次课程设计,加强了我们动手、思考和解决问题的能力。在整个设计过程中,我们通过这个方案包括设计了一套电路原理和pcb 连接图,和芯片上的选择。这个方案总共使用了74ls248 ,cd4510 各两个,74ls04 ,74ls08 ,74ls20 ,74ls74 ,ne555 定时器各一个。 2、在设计过程中,经常会遇到这样那样的情况,就是心里想老着这样的接法可以行得通,但实际接上电路,总是实现不了,因此耗费在这上面的时间用去很多。 3、我沉得做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能,而且考试内容有限,所以在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识。平时看课本时,有时问题老是弄不懂,做完课程设计,那些问题就迎刃而解了。而且还可以记住很多东西。比如一些芯片的功能,平时看课本,这次看了,下次就忘了,通过动手实践让我们对各个元件映象深刻。认识于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。所以这个期末测试之后的课程设计对我们的作用是非常大的。 4、经过两个星期的实习,过程曲折可谓一语难尽。在此期间我们也失落过,也曾一度热情高涨。从开始时满富盛激情到最后汗水背后的复杂心情,点点滴滴无不令我回味无长。生活就是这样,汗水预示着结果也见证着收获。劳动是人类生存生活永恒不变的话题。 通过实习,我才真正领略到“艰苦奋斗”这一词的真正含义,我才意识到老一辈电子设计为我们的社会付出。我想说,设计确实有些辛苦,但苦中也有乐,在如今单一的理论学习中,很少有机会能有实践的机会,但我们可以,而且设计也是一个团队的任务,一起的工作可以让我们有说有笑,相互帮助,配合默契,多少人间欢乐在这里洒下,大学里一年的相处还赶不上这十来天的合作,我感觉我和同学们之间的距离更加近了;我想说,确实很累,但当我们看到自己所做的成果时,心中也不免产生兴奋;正所谓“三百六十行,行行出状元”。 我们同样可以为社会作出我们应该做的一切,这有什么不好?我们不断的反问自己。也许有人不喜欢这类的工作,也许有人认为设计的工作有些枯燥,但我们认为无论干什么,只要人生活的有意义就可。 社会需要我们,我们也可以为社会而工作。既然如此,那还有什么必要失落呢?于是我们决定沿着自己的路,执着的走下去。同时我认为我们的工作是一个团队的工作,团队需要个人,个人也离不开团队,必须发扬团结协作的精神。某个人的离群都可能导致导致整项工作的失败。实习中只有一个人知道原理是远远不够的,必须让每个人都知道,否则一个人的错误,就有可能导致整个工作失败。团结协作是我们实习成功的一项非常重要的保证。 而这次实习也正好锻炼我们这一点,这也是非常宝贵的。对我们而言,知识上的收获重要,精神上的丰收更加可喜。挫折是一份财富,经历是一份拥有。这次实习必将成为我人生

基于PLC四路抢答器的设计毕业设计

毕业设计设计任务书 设计题目: 基于PLC四路抢答器的设计 设计要求: 1. 抢答器可同时供四组选手参加比赛 2. 主持人有三个控制按钮,用来控制抢答开始、复位和答题计时的开始。 3.每当主持人发出开始抢答指令后,那组选手最先按下抢答按钮,则数码管1就显示该组的编号,同时绿色指示灯亮,音响电路给出信箱提示信号(持续3S),以指示抢答成功,并对其后的抢答信号不再响应。选手答题完毕后,由主持人按下复位按钮,系统才能开始下一轮抢答。 4.违规抢答:若选手在未开时抢答试题时抢答了,则视为违规,违规时数码管1显示其编号,同时红灯亮,音响电路发出声响。 5.抢答限时:当主持人按下开始按钮后,定时器T0开始计时(设定30S)。若30S时限到仍无人抢答,则黄灯亮、音响电路3发出声响,以示选手放弃该题。 6.答题限时:在抢答成功后,主持人按下答题计时开始按钮,同时数码管2、3上显示答题倒计时时间(该时间设定为50S),选手必须在设定的时间内完成答题。否则,音响电路发出答题超时报警信号 设计进度要求: 第一、二周:确定题目,查阅资料,根据要求分析抢答器的设计、工作原理。 第三、四周:根据工作原理画流程图并编译梯形图,并进行硬件设计。 第五、六周:对软件设计,进行上机调试,找出问题,进行修改,并改进设计。 第七、八周:撰写论文,毕业答辩。 指导教师(签名)

摘要 近年来随着科技的飞速发展, PLC的应用不断地走向深入,同时带动传统的控制检测技术的不断更新,可编程控制器由于其优良的控制性能,极高的可靠性,在各行各业中的应用日益广泛普及。对于抢答器其广泛用于电视台、商业机构、企事业工会组织、俱乐部及学校等单位组织举办各种知识、技术竞赛及文娱活动时作抢答之用,为竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活,并且给人的视觉效果非常好,是各单位开展素质教育、精神文明、娱乐活动的必备产品。 本次设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先,选择这个题目之后,我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路,然后仔细分析PLC控制的四路智力抢答器的工作原理,以及它的一些工作过程,分析后得出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要25个,输入端口需要7个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点,因此,我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活,维护使用方便等特点。 关键词:智力控制,四路抢答器, PLC

四路抢答器

一、设计题目: 四路抢答器设计 二、技术指导和技术要求: 用集成芯片设计并制作简易四路抢答器,具体要求如下: 1.每个参加者控制一个按钮,用按动按钮发出抢答信号; 2.抢答组数分为四组,即序号A、B、C、D,对应数字编码1、2、3、4,优先抢答者按动本组序号开关,该组对应数字编码立即锁存到LED显示器上,同时封锁其他组号,并有蜂鸣器声音提示。此后其他3人再按动按钮对电路不起作用; 3.竞赛主持人另有一个按钮,用于将电路复位。 三、选择总体方案,确定电路 1、提出电路的方案 方案(1): 如图1所示为总体方框图。其工作原理为:通电源后,抢答器处于工作状态,编号显示器灯灭,主持人宣布“开始”,选手开始抢答,抢答器完成:优先判断、编号锁存、编号显示。当一轮抢答之后,禁止二次抢答。如果再次抢答必须由主持人操作“复位”开关。 图1 电路原理框图 方案(2): 下面是用74LS175、74LS00、74LS20得到的4路抢答器的电路,如图2。 这个电路只是通过发光二极管来显示其结果,没有达到按照选手的号码来显示数字,并且其电路抢答没有声音,所要求集成块个数跟方案(1)相当,但实现功能稍逊方案(1)。 2、方案的选择与论证 比较方案(1)和方案(2),发现方案(1)需要元器件数量稍微多一点,但

所需元件都是比较简易,电路不是很复杂,并且所显示的效果比方案(2)要多,达到了实验的要求。而方案(2)不能有效达到设计指标(没有声音、无数字显示),所以选择方案(1)。 图2 方案(2)电路图 四、单元电路推导和电路元器件参数计算 (1)优先锁存、门控电路: CD4511具有锁存、译码、消隐功能,通常以反相器作输出级,通常用以驱 动LED,其引脚图和功能表如图3。 图3 CD4511的引脚图和功能表

数电课程设计 电梯控制系统

湖南工程学院 课程设计 课程名称数字电子技术 课题名称简易电梯控制系统 专业自动化 班级1081 学号201013110111 姓名罗超超 指导教师龚志鹏 2012年11月23日湖南工程学院

课程设计任务书课程名称:数字电子技术 题目:简易电梯控制系统 专业班级:自动化1081 学生姓名:罗超超学号:11 指导老师:龚志鹏 审批: 任务书下达日期2012年11月19日星期一设计完成日期2012年11月23日星期五

设计内容与设计要求 一.设计内容: 1、显示方式:以LED数码管形式显示0-3; 2、主要功能:一共有四层楼,楼层标记用0-3表示,假 设每次只有有一层楼有呼叫,按下呼叫按钮后,呼叫楼层指示灯亮,电梯将从当前楼层运行到呼叫楼层,指示灯熄灭; 3、超重报警:挡电梯超重时,报警灯亮,电梯拒绝运行; 4、系统复位:系统能手动复位,复位后,电梯停在第○ 层; 5、其他功能(任选) 二、设计要求: 1、思路清晰,给出整体设计框图和总电路图; 2、单元电路设计,给出具体设计思路和电路; 3、写出设计报告;

主要设计条件 1.提供调试用实验室; 2.提供调试用实验箱和电路所需元件及芯片;3.所用设备及元件需在设计后归还。 说明书格式 1.课程设计封面; 2.任务书; 3.说明书目录; 4.设计总体思路,基本原理和框图(总电路图);5.单元电路设计(各单元电路图); 6.安装、调试步骤; 7.故障分析与电路改进; 8.总结与体会; 9.附录(元器件清单); 10.参考文献; 11.课程设计成绩评分表; 12.设计报告采用16k纸打印;

进度安排 第三周: 星期一:课题内容介绍和查找资料; 星期二~星期五:总体电路设计和分电路设计,电路仿真,修改方案; 第四周: 星期一~星期二:电路设计,电路仿真,修改方案 星期三: 安装、调试电路; 星期四: 验收电路,整理实验室及其它事情,写设计报告,打印相关图纸; 星期五:答辩; 参考文献 1、《电子系统设计》 高等教育出版社马建国主编 2、《电子线路设计、实验、测试》(第二版) 华中理工大学出版社谢自美主编 3、《新型集成电路的应用》---------电子技术基础课程设计 华中理工大学出版社梁宗善主编

单片机四路抢答器课程设计

课程设计(论文) 题目名称简易四路抢答器设计 课程名称单片机原理及应用 学生姓名瞿永 学号0841229144 系、专业电气工程系测控类 指导教师杨波 2010年7 月1 日

邵阳学院课程设计(论文)评阅表 学生姓名瞿永学号0841229144 系别电气工程系专业班级08电本二班题目名称简易四路抢答器课程名称单片机原理及应用 二、指导教师评定

目录 摘要 (4) 一,设计任务与要求 (4) 二,方案设计与论证 (4) 三,硬件电路设计 (5) 四,软件设计 (8) 五,器件选型方案 (21) 六,调试: (22) 七,结论与心得 (22) 八,参考文献 (23)

单片机四路抢答器设计 摘要 抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低。作为一个单位,如果专门购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏,再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。 本设计是以四路抢答为基本理念。考虑到依需设定限时回答的功能,利用AT49C51单片机及外围接口实现的抢答系统,利用单片机的定时器/计数器定时和记数的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时,同时使数码管能够正确地显示时间。用开关做键盘输出,扬声器发生提示。同时系统能够实现:在抢答中,只有开始后抢答才有效,如果在开始抢答前抢答为无效;抢答限定时间和回答问题的时间可在1-99s设定;可以显示是哪位选手有效抢答和无效抢答,正确按键后有音乐提示;抢答时间和回答问题时间倒记时显示,满时后系统计时自动复位及主控强制复位;按键锁定,在有效状态下,按键无效非法。 一,设计任务与要求 1、抢答器同时供4名选手或4个代表队比赛,分别用4个按钮S0 ~ S3表示。 2、设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3、抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4、参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号并保持到主持人将系统清除为止。 二,方案设计与论证

数字电子课程设计_4路抢答器课程设计报告

一、设计题目 题目:四人智力竞赛抢答器 二、设计任务和要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (6)可用石英晶体振荡器或者555定时器产生频率为1H z的脉冲信号,作为定时计数器的CP信号。 三、原理电路设计: 1、方案比较; 方案一: 抢答电路:使用74ls175作为锁存电路,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,74ls175立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74ls148作为编码器,对输入的型号进行编码,输出4位的BCD码,再将这四位的BCD码输入共阴数码管里显示出抢答者的编号。 主持人电路:;利用74ls190计数器作为倒计时的芯片,当主持人按下抢答按钮时,74ls190被置九,同时将显示上次抢到题目的选手编号的数码管清零,并开始倒计时,,并通过74ls48编码器将即时时间进行编码,并送到7段共阴数码管,显示此时的时间。假如在9秒内有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。 方案二: 锁存电路采用CD4042来触发,如果用CD4042,则可以用低电平触发,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,CD4042 立即被锁存,同 RC端来将时蜂鸣器鸣叫1s,这时抢答无效。此外当倒计时到0时,利用借位0 锁存器的信号置零。而不是像方案一那样使用max/min端。

74LS160四路抢答器设计

江汉大学文理学院课程设计报告课程设计题目多路抢答器 部(系)信息技术学部 专业电子信息工程2班 姓名 学号 指导教师路银聚 设计日期2014年 6月10日

目录 一、设计题目 (3) 二、设计目的 (3) 三、主要内容及要求 (3) 四、基本原理及参考框图 (3) 五、设计方案 (4) 六、电路工作原理 (4) 七、仿真调试与分析 (10) 八、结论与心得 (11) 九、参考元件 (12)

一、设计题目 多路抢答器(74161/74160实现) 二、设计目的 在进行智力竞赛时,需要反映及时准确、显示清楚方便的定时抢答电路。通常多组参加竞赛,所以定时抢答设备应该包括一个总控制和多个具有显示及抢答设置的终端。 三、主要内容及要求 (1)设计一个智力竞赛抢答器,可同时提供4名选手参加比赛,按钮的编号为1、2、3、4。 (2)给主持人设置一个控制开关,用来控制系统的清零。 (3)抢答器具有数据锁存和显示的功能。主持人将系统复位后,参赛者按抢答开关,当某台参赛者按下抢答开关时,由数码管显示该台编号并伴有声响。此时,抢答器不再接收其他输入信号。 (4)设置计分电路。具有计分功能。每组参赛者起始分为100分,抢答后由主持人计分,答对1次加10分,否则减去10分 (5)定时功能。系统设置60秒定时时间,当有参赛者抢答成功后,定时器开始减法计数并显示,参赛选手在设定时间内回答成功,由主持人将定时电路复位;如果定时时间到,参赛者尚未回答成功,则发出报警信号,由主持人将定时电路复位。 四、基本原理及参考框图 抢答按钮 优先 编码电路 锁存器译码电路 序号 显示电路 主持人 控制开关 控制电路计时电路报警电路扬声器 计分电路 得分 显示电路 图1 总体方案原理框图

相关文档
最新文档