计算机组成原理第六章

计算机组成原理第六章
计算机组成原理第六章

第6章习题与解答

6-1 什么是总线?计算机系统有哪几种总线结构?各有何特点?

答:所谓总线就是指能为多个功能部件服务的一组公用信息线,并且能够分时地发送和接收信息。

一个计算机系统中的总线,大致分为三类:

(1)同一部件如CPU内部连接各寄存器及运算器之间的总线,称为内部总线。

(2)同一台计算机系统的各部件,如CPU、内存、通道和各类I/O接口间互相连接的总线,称为系统总线。

(3)多台处理机之间互相连接的总线,称为外部总线。

6-2 集中式总线控制有哪三种方式?各有什么优缺点?

答:集中式总线控制有链式查询方式、计数器定时查询方式和独立请求方式。

优缺点:链式查询方式连线简单,易于扩充,对电路故障最敏感;计数器查询方式优先级设置较灵活,对故障不敏感,连线及控制过程较复杂;独立请求方式判优速度最快,但硬件器件用量大,连线多,成本较高。

6-3 什么是同步通讯和异步通讯?比较两者的优缺点。

答:同步通信——由统一时钟控制的通信,控制方式简单,灵活性差,当系统中各部件工作速度差异较大时,总线工作效率明显下降。适合于速度差别不大的场合;

异步通信——不由统一时钟控制的通信,部件间采用应答方式进行联系,控制方式较同步复杂,灵活性高,当系统中各部件工作速度差异较大时,有利于提高总线工作效率。

6-4 比较串行传送与并行传送的特点。

答:串行传送是数据在一条传输线上一位一位地依传输,传输线路少,传输速度慢,可用成本长距离的数据输送。

并行传送是每个数据位都有独立的一条传输线,各个数据位同时进行传送。传输速度快,可用成本智短距离数据输送。

6-5 什么是接口?它有哪些作用?接口是如何进行分类的?

答:广义的讲,“接口”这一术语是指中央处理器(CPU)和内存、外围设备、或两种外围设备、或两种机器之间通过总线进行连接的逻辑部件。接口部件在它所连接的两部件之间起着“转换器”的作用,以便实现彼此之间的信息传送。

按数据传输宽度分类:分为并行接口和串行接口

按操作的节拍分类: 分为同步接口和异步

按接口信息传送的控制方式,可以将接口分为:有程序控制的输入输出接口、程序中断输入输出接口和直接存储器存取(DMA)接口三种。

6-6 主机与外围设备之间如何连接。

答:主机与外围设备通过接口连接。如图所示

图中CPU、接口和外围设备之间的连接方式图。外围设备本身带有自己的设备控制器,它是控制外围设备进行操作的控制部件。通常在总线和每个外围设备的设备控制器使用一个

“接口”电路来解决问题,以保证外围设备用计算机系统特性所要求的形式发送和接收信息。

图cpu与外围设备的连接方法

计算机组成原理第六章答案

第6章 计算机的运算方法 2. 已知X=0.a1a2a3a4a5a6(ai 为0或1),讨论下列几种情况时ai 各取何值。 (1)2 1 X > (2)8 1X ≥ (3) 16 1 X 41> ≥ 解: (1)若要2 1 X > ,只要a1=1,a2~a6不全为0即可。 (2)若要8 1 X ≥,只要a1~a3不全为0即可。 (3)若要 16 1X 41>≥,只要a1=0,a2可任取0或1; 当a2=0时,若a3=0,则必须a4=1,且a5、a6不全为0; 若a3=1,则a4~a6可任取0或1; 当a2=1时, a3~a6均取0。 3. 设x 为整数,[x]补=1,x1x2x3x4x5,若要求 x < -16,试问 x1~x5 应取何值? 解:若要x < -16,需 x1=0,x2~x5 任意。(注:负数绝对值大的补码码值反而小。) 4. 设机器数字长为8位(含1位符号位在内),写出对应下列各真值的原码、补码和反码。 -13/64,29/128,100,-87 解:真值与不同机器码对应关系如下: 5. 已知[x]补,求[x]原和x 。 [x1]补=1.1100; [x2]补=1.1001; [x3]补=0.1110; [x4]补=1.0000; [x5]补=1,0101; [x6]补=1,1100; [x7]补=0,0111; [x8]补=1,0000; 解:[x]补与[x]原、x 的对应关系如下: 6. 设机器数字长为8位(含1位符号位在内),分整数和小数两种情况讨论真值x 为何值时,[x]补=[x]原成立。 解:当x 为小数时,若x ≥ 0,则 [x]补=[x]原成立; 若x < 0,当x= -1/2时,[x]补=[x]原=1.100 0000,则 [x]补=[x]原成立。 当x 为整数时,若x ≥0,则 [x]补=[x]原成立; 若x< 0,当x= -64时,[x]补=[x]原=1,100 0000,则 [x]补=[x]原成立。 7. 设x 为真值,x*为绝对值,说明[-x*]补=[-x]补能否成立。 解:当x 为真值,x*为绝对值时,[-x*]补=[-x]补不能成立。原因如下: (1)当x<0时,由于[-x*]补是一个负值,而[-x]补是一个正值,因此此时[-x*]补=[-x]补不成立; (2)当x ≥0时,由于-x*=-x ,因此此时 [-x*]补=[-x]补的结论成立。 8. 讨论若[x]补>[y]补,是否有x>y ?

计算机组成原理第四章作业答案

第四章作业答案 解释概念:主存、辅存,Cache, RAM, SRAM, DRAM, ROM, PROM ,EPROM ,EEPROM CDROM, Flash Memory. 解:1主存:主存又称为内存,直接与CPU交换信息。 2辅存:辅存可作为主存的后备存储器,不直接与CPU交换信息,容量比主存大,速度比主存慢。 3 Cache: Cache缓存是为了解决主存和CPU的速度匹配、提高访存速度的一种存储器。它设在主存和CPU之间,速度比主存快,容量比主存小,存放CPU最近期要用的信息。 4 RAM; RAM是随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。 5 SRAM: 是静态RAM,属于随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。靠触发器原理存储信息,只要不掉电,信息就不会丢失。 6 DRAM 是动态RAM,属于随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。靠电容存储电荷原理存储信息,即使电源不掉电,由于电容要放电,信息就会丢失,故需再生。 7 ROM: 是只读存储器,在程序执行过程中只能读出信息,不能写入信息。 8 PROM: 是可一次性编程的只读存储器。 9 EPROM 是可擦洗的只读存储器,可多次编程。 10 EEPROM: 即电可改写型只读存储器,可多次编程。 11 CDROM 即只读型光盘存储器。 12 Flash Memory 即可擦写、非易失性的存储器。 存储器的层次结构主要体现在什么地方?为什么要分这些层次?计算机如何管理这些层次? 答:存储器的层次结构主要体现在Cache—主存和主存—辅存这两个存储层次上。 Cache—主存层次在存储系统中主要对CPU访存起加速作用,即从整体运行的效果分析,CPU访存速度加快,接近于Cache的速度,而寻址空间和位价却接近于主存。 主存—辅存层次在存储系统中主要起扩容作用,即从程序员的角度看,他所使用的存储器其容量和位价接近于辅存,而速度接近于主存。 综合上述两个存储层次的作用,从整个存储系统来看,就达到了速度快、容量大、位价低的优化效果。 主存与Cache之间的信息调度功能全部由硬件自动完成。而主存—辅存层次的调度目前广泛采用虚拟存储技术实现,即将主存与辅存的一部份通过软硬结合的技术组成虚拟存储器,程序员可使用这个比主存实际空间(物理地址空间)大得多的虚拟地址空间(逻辑地址空间)编程,当程序运行时,再由软、硬件自动配合完成虚拟地址空间与主存实际物理空间的转换。因此,这两个层次上的调度或转换操作对于程序员来说都是透明的。

计算机组成原理第五版 白中英(详细)第5章习题参考答案

第5章习题参考答案 1.请在括号内填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是(IR ); (2)保存当前正在执行的指令地址的寄存器是(AR ) (3)算术逻辑运算结果通常放在(DR )和(通用寄存器)。 2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的内容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及微操作信号序列如下:

STO R1, (R2) R/W=R DR O, G, IR i R2O, G, AR i R1O, G, DR i R/W=W 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的内容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下:

PC O , G, AR i R/W=R DR O , G, IR i R 3O , G, AR i DR O , G, R 0i R/W=R LAD (R3), R0 4.假设主脉冲源频率为10MHz ,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解:

5.如果在一个CPU 周期中要产生3个节拍脉冲;T l =200ns ,T 2=400ns ,T 3=200ns ,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2、T 3的宽度为时钟周期或者是时钟周期的倍数即可。所以取时钟源提供的时钟周期为200ns ,即,其频率为5MHz.;由于要输出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下:

计算机组成原理第八章课后部分答案

计算机组成原理第八章课后部分答案

8.1CPU 有哪些功能?画出其结构框图并简要说明每个部件的作用。 解:CPU的主要功能是执行存放在主存储器中的程序即机器指令.CPU是由 控制器和运算器. ALU:实现算逻运算 寄存器:存放操作数 CU :发出各种操作命令序列的控制部件 中断系统:处理异常情况和特殊请求 8.2什么是指令周期?指令周期是否有一个固定值?为什么?解:指令周 期:指取出并执行完一条指令所需的时间。 由于计算机中各种指令执行所需的时间差异很大,因此为了提高 CPU 运行效率,即使在同步控制的机器中,不同指令的指令周期长 度都是不一致的,也就是说指令周期对于不同的指令来说不是一个 固定值。

8.3画出指令周期的流程图,分别说明图中每个子周期的作用。 解:

指令周期流程图 取指周期:取指令间址周期:取有效地址执行周期:取操作数(当指令为访存指令时)中断周期:保存程序断点 8.4设CPU内有这些部件:PC、IR、SP、AC、MAR、MDR、CU。 (1)画出完成简洁寻址的取数指令“ LDA@”X(将主存某地址单元的内容取至AC中)的数据流(从取指令开始)。 (2)画出中断周期的数据流。解:CPU中的数据通路结构方式有直接连线、单总线、双总线、三总线等形式,目前大多采用总线结构,直接连线方式仅适用于结构特别简单的机器中。 下面采用单总线形式连接各部件,框图如下:

MAR PC Bus CU IR SP AC 线 址 地 MDR 1) 图: MDR→AC 2)中断周期流程图如 下:

SP-1→SP 8.7 什么叫系统的并行性?粗粒度并行和细粒度并行有什么区别?解:并行 性:包含同时性和并发性。同时性指两个或两个以上的事件在同一时刻发生,并发性指两个或多个事件在同一时间段发生。即在同一时刻或同一时间段内完成两个或两个以上性质相同或性质不同的功能,只要在时间上存在 相互重叠,就存在并行性。 粗粒度并行是指多个处理机上分别运行多个进程,由多台处理机合作完成一个程序,一般算法实现。 细粒度并行是指在处理机的指令级和操作级的并行性。 8.8 什么是指令流水?画出指令二级流水和四级流水的示意图,它们中哪一个 更能提高处理器速度,为什么?解:指令流水:指将一条指令的执行过程分为n 个操作时间大致相等的阶段,每个阶段由一个独立的功能部件来完成,这样n 个部件可以同时执行n 条指令的不同阶段,从而大大提高 CPU的吞吐率。 指令二级流水和四级流水示意图如下: (3)CPU 在什么条件、什么时候、以什么方式来响应中断

计算机组成原理第五版 白中英(详细)第4章习题参考答案

第4章习题参考答案 1.ASCII码是7位,如果设计主存单元字长为32位,指令字长为12位,是否合理?为什么? 答:不合理。指令最好半字长或单字长,设16位比较合适。一个字符的ASCII 是7位,如果设计主存单元字长为32位,则一个单元可以放四个字符,这也是可以的,只是在存取单个字符时,要多花些时间而已,不过,一条指令至少占一个单元,但只占一个单元的12位,而另20位就浪费了,这样看来就不合理,因为通常单字长指令很多,浪费也就很大了。 2.假设某计算机指令长度为32位,具有双操作数、单操作数、无操作数三类指令形式,指令系统共有70条指令,请设计满足要求的指令格式。 答:字长32位,指令系统共有70条指令,所以其操作码至少需要7位。 双操作数指令 单操作数指令 无操作数指令 3.指令格式结构如下所示,试分析指令格式及寻址方式特点。 答:该指令格式及寻址方式特点如下: (1) 单字长二地址指令。 (2) 操作码字段OP可以指定26=64种操作。 (3) 源和目标都是通用寄存器(可分指向16个寄存器)所以是RR型指令,即两个操作数均在寄存器中。 (4) 这种指令结构常用于RR之间的数据传送及算术逻辑运算类指令。 4.指令格式结构如下所示,试分析指令格式及寻址方式特点。 15 10 9 8 7 4 3 0 答:该指令格式及寻址方式特点如下: (1)双字长二地址指令,用于访问存储器。 (2)操作码字段OP可以指定26=64种操作。 (3)RS型指令,一个操作数在通用寄存器(选择16个之一),另一个操作数 在主存中。有效地址可通过变址寻址求得,即有效地址等于变址寄存器(选择16个之一)内容加上位移量。

计算机组成原理第3章习题参考答案

第3章习题参考答案 1、设有一个具有20位地址和32位字长的存储器,问 (1) 该存储器能存储多少字节的信息? (2) 如果存储器由512K ×8位SRAM 芯片组成,需要多少片? (3) 需要多少位地址作芯片选择? 解: (1) 该存储器能存储:字节 4M 8 32220=? (2) 需要 片88 2 322 8 51232 2 19 2020 =??= ??K (3) 用512K ?8位的芯片构成字长为32位的存储器,则需要每4片为一组进行字长的位数扩展,然后再由2组进行存储器容量的扩展。所以只需一位最高位地址进行芯片选择。 2、已知某64位机主存采用半导体存储器,其地址码为26位,若使用4M ×8位的DRAM 芯片组成该机所允许的最大主存空间,并选用内存条结构形式,问; (1) 若每个内存条为16M ×64位,共需几个内存条? (2) 每个内存条内共有多少DRAM 芯片? (3) 主存共需多少DRAM 芯片? CPU 如何选择各内存条? 解: (1) 共需 条464 1664 2 26 =??M 内存条 (2) 每个内存条内共有32 8 46416=??M M 个芯片 (3) 主存共需多少 1288 464648 464 2 26 =??= ??M M M 个RAM 芯片, 共有4个内存条,故CPU 选择内存条用最高两位地址A 24和A 25通过2:4译码器实现;其余的24根 地址线用于内存条内部单元的选择。 3、用16K ×8位的DRAM 芯片构成64K ×32位存储器,要求: (1) 画出该存储器的组成逻辑框图。 (2) 设存储器读/写周期为0.5μS ,CPU 在1μS 内至少要访问一次。试问采用哪种刷新方式比较合理?两次刷新的最大时间间隔是多少?对全部存储单元刷新一遍所需的实际刷新时间是多少? 解: (1) 用16K ×8位的DRAM 芯片构成64K ×32位存储器,需要用 16 448 163264=?=??K K 个芯片,其中每4片为一组构成16K ×32位——进行字长位 数扩展(一组内的4个芯片只有数据信号线不互连——分别接D 0~D 7、D 8~D 15、

计算机组成原理第六章答案上课讲义

计算机组成原理第六 章答案

1. 写出下列各数的原码、反码、补码、移码(用8位二进制表示),其中MSB是最高位(符号位),LSB是最低位。如果是小数,则小数点在MSB之后;如果是整数,则小数点在LSB之后。 (1)-59/64 (2)27/128 (3)- 127/128 (4)用小数表示-1 (5)用整数表示-1 (6)- 127 (7)35 (8)-128 2. 设[x]补=x0.x1x2x3x4,其中x i取0或1,若要使x>-0.5,则x0、x1、x2、x3、x4的取值应满足什么条件? 3. 若32位定点小数的最高位为符号位,用补码表示,则所能表示的最大正数为,最小正数为,最大负数为,最小负数为;若32位定点整数的最高位为符号位,用原码表示,则所能表示的最大正数为,最小正数为,最大负数 为,最小负数为。 4. 若机器字长为32位,在浮点数据表示时阶符占1位,阶码值占7位,数符占1位,尾数值占23位,阶码用移码表示,尾数用原码表示,则该浮点数格式所能表示的最大正数为,最小正数为,最大负数 为,最小负数为。 5. 某机浮点数字长为18位,格式如图2.35所示,已知阶码(含阶符)用补码表示,尾数(含数符)用原码表示。 (1)将(-1027)10表示成规格化浮点数; (2)浮点数(0EF43)16是否是规格化浮点数?它所表示的真值是多少? 图2.35 浮点数的表示格式 6. 有一个字长为32位的浮点数,格式如图2.36所示,已知数符占1位;阶码占8位,用移码表示;尾数值占23位,尾数用补码表示。

图2.36 浮点数的表示格式 请写出: (1)所能表示的最大正数; (2)所能表示的最小负数; (3)规格化数所能表示的数的范围。 7. 若浮点数x的IEEE754标准的32位存储格式为(8FEFC000)16,求其浮点数的十进制数值。 8. 将数(-7.28125)10转换成IEEE754标准的32位浮点数的二进制存储格式。 9. 已知x=-0.x1x2…x n,求证:[x]补=+0.00…01。 10. 已知[x]补=1.x1x2x3x4x5x6,求证:[x]原=+0.000001。 11. 已知x和y,用变形补码计算x+y,同时指出运算结果是否发生溢出。 (1)x=0.11011 y=-0.10101 (2)x=-10110 y=-00011 12. 已知x和y,用变形补码计算x-y,同时指出运算结果是否发生溢出。 (1)x=0.10111 y=0.11011 (2)x=11011 y=-10011 13. 已知[x]补=1.1011000,[y]补=1.0100110,用变形补码计算2[x]补 +1/2[y]补=?,同时指出结果是否发生溢出。 14. 已知x和y,用原码运算规则计算x+y,同时指出运算结果是否发生溢出。 (1)x=0.1011,y=-0.1110 (2)x=-1101,y=-1010

计算机组成原理第四章课后题参考答案教程文件

计算机组成原理第四章课后题参考答案

第四章课后题参考答案 3.指令格式结构如下所示,试分析指令格式及寻址方式特点。 解:指令格式及寻址方式特点如下: ① 单字长二地址指令; ② 操作码OP可指定=64条指令; ③ RR型指令,两个操作数均在寄存器中,源和目标都是通用寄存器(可分别指定16个寄存器之一);

④ 这种指令格式常用于算术逻辑类指令。 4.指令格式结构如下所示,试分析指令格式及寻址方式特点。 解:指令格式及寻址方式特点如下: ① 双字长二地址指令; ② 操作码OP可指定=64条指令; ③ RS型指令,两个操作数一个在寄存器中(16个寄存器之一),另一个在存储器中(由变址寄存器和偏移量决定),变址寄存器可有16个。

6.一种单地址指令格式如下所示,其中I为间接特征,X为寻址模式,D为形式地址。I,X,D组成该指令的操作数有效地址E。设R为变址寄存器,R1 为基值寄存器,PC为程序计数器,请在下表中第一列位置填入适当的寻址方式名称。 解:① 直接寻址 ② 相对寻址 ③ 变址寻址 ④ 基址寻址 ⑤ 间接寻址 ⑥ 基址间址寻址 12. 根据操作数所在位置,指出其寻址方式(填空): (1)操作数在寄存器中,为(A)寻址方式。 (2)操作数地址在寄存器,为(B)寻址方式。 (3)操作数在指令中,为(C)寻址方式。 (4)操作数地址(主存)在指令中,为(D)寻址方式 (5)操作数的地址,为某一寄存器内容与位移量之和可以是(E,F,G)寻址方式。 解:A:寄存器直接(或寄存器); B:寄存器间接; C:立即;

D:直接; E:相对; F:基址;G:变址 补充一下,间接寻址可以表述为: 操作数地址(主存)在内存中 或者 操作数地址的地址(主存)在指令中

计算机组成原理第三章习题

第三章、内部存储器 1、存储器是计算机系统中的记忆设备,它主要是用来_____ A.存放数据 B.存放程序 C.存放数据和程序 D.存放微程序 2、存储单元是指______ A.存放一个二进制信息位的存储元 B.存放一个机器字的所有存储单元集合 C.存放一个字节的所有存储元集合 D.存放两个字节的所有存储元集合 3、计算机的存储器采用分级存储体系的主要目的是________ A.便于读写数据 B.减小机箱的体积 C.便于系统升级 D.解决存储容量、价格和存取速度之间的矛盾 5、和外存相比,内存的特点是____ A.容量大,速度快,成本低 B.容量大,速度慢,成本高 C.容量小,速度快,成本高 D.容量小,速度快,成本低 6、某单片机字长16位,它的存储容量64KB,若按字编址,那么它的寻址范围是______ A.64K B.32K C.64KB D.32KB 7、某SRAM芯片,其存储容量为64K×16位,该芯片的地址线和数据线数目为_______ A.64,16 B.16,64 C.64,8 D.16,16 8、某DRAM芯片,其存储器容量为512K×8位,该芯片的地址线和数据线数目为 ________ A.8,512 B.512,8 C.18,8 D.19,8 9、某机器字长32位,存储容量256MB,若按字编址,它的寻址范围是_______ A.1M B.512KB C.64M D.256KB 10、某机器字长32位,存储容量4GB,若按字编址,它的寻址范围是_______ A.1G B.4GB C.4G D.1GB 11、某机器字长64位,存储容量4GB,若按字编址,它的寻址范围是_______ A.4G B.2G C.0.5G D.1MB 12、某机器字长32位,存储容量4GB,若按双字编址,它的寻址范围是_______ A.4G B.5G C.8G D.2G 13、某SRAM芯片,其容量为512×8位,包括电源端和接地端,该芯片引出线的数目应为_____ A.23 B.25 C.50 D.19 14、某微型计算机系统,其操作系统保存在硬盘上,其内存储器应该采用__________ A.RAM B.ROM C.RAM 和ROM https://www.360docs.net/doc/e111193683.html,D 15、相联存储是按____进行寻址的存储器。 A.地址指定方式 B.堆栈存取方式 C.内容指定方式 D.地址指定方式与堆栈存取方式结合 16、交叉存储器实质上是一种____存储器,它能_____执行_____独立的读写操作。 A.模块式,并行,多个 B.模块式,串行,多个 C.整体式,并行,一个 D.整体式,串行,多个

计算机组成原理第五章单元测试(含答案)

第五章指令系统测试 1、以下四种类型指令中,执行时间最长的是()(单选) A、RR型指令 B、RS型指令 C、SS型指令 D、程序控制类指令 2、程序控制类指令的功能是()(单选) A、进行算术运算和逻辑运算 B、进行主存与CPU之间的数据传送 C、进行CPU和I/O设备之间的数据传送 D、改变程序执行的顺序 3、单地址指令中为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个常需采用的寻址方式是( )(单选) A、立即数寻址 B、寄存器寻址 C、隐含寻址 D、直接寻址 4、下列属于指令系统中采用不同寻址方式的目的主要是()(单选) A、为了实现软件的兼容和移植 B、缩短指令长度,扩大寻址空间,提高编程灵活性 C、为程序设计者提供更多、更灵活、更强大的指令 D、丰富指令功能并降低指令译码难度 5、寄存器间接寻址方式中,操作数存放在()中(单选) A、通用寄存器 B、主存 C、数据缓冲寄存器MDR D、指令寄存器 6、指令采用跳跃寻址方式的主要作用是() (单选) A、访问更大主存空间 B、实现程序的有条件、无条件转移 C、实现程序浮动 D、实现程序调用 7、下列寻址方式中,有利于缩短指令地址码长度的是()(单选) A、寄存器寻址 B、隐含寻址 C、直接寻址

D、间接寻址 8、假设某条指令的一个操作数采用寄存器间接寻址方式,假定指令中给出的寄存器编号为8,8号寄存器的内容为1200H,地址1200H中的内容为12FCH,地址12FCH中的内容为3888H,地址3888H中的内容为88F9H.则该操作数的有效地址为( ) (单选) A、1200H B、12FCH C、3888H D、88F9H 9、假设某条指令的一个操作数采用寄存器间接寻址方式,假定指令中给出的寄存器编号为8,8号寄存器的内容为1200H,地址1200H中的内容为12FCH,地址12FCH中的内容为3888H,地址3888H中的内容为88F9H.则该操作数为( ) (单选) A、1200H B、12FCH C、3888H D、88F9H 10、某计算机按字节编址,采用大端方式存储信息。其中,某指令的一个操作数的机器数为ABCD 00FFH,该操作数采用基址寻址方式,指令中形式地址(用补码表示)为FF00H,当前基址寄存器的内容为C000 0000H,则该操作数的LSB(即该操作数的最低位FFH)存放的地址是( ) (单选) A、C000 FF00H B、C000 FF03H C、BFFF FF00H D、BFFF FF03H 11、假定指令地址码给出的是操作数所在的寄存器的编号,则该操作数采用的寻址方式是( )(单选) A、直接寻址 B、间接寻址 C、寄存器寻址 D、寄存器间接寻址 12、相对寻址方式中,操作数有效地址通过( )与指令地址字段给出的偏移量相加得到(单选) A、基址寄存器的值 B、变址寄存器的值 C、程序计数器的值 D、段寄存器的值 13、下列关于二地址指令的叙述中,正确的是( ) (单选) A、运算结果通常存放在其中一个地址码所指向的位置 B、地址码字段一定是操作数 C、地址码字段一定是存放操作数的寄存器编号

计算机组成原理第六章答案54731培训资料

计算机组成原理第六章答案54731

第6章 计算机的运算方法 2. 已知X=0.a1a2a3a4a5a6(ai 为0或1),讨论下列几种情况时ai 各取何值。 (1)21X > (2)8 1X ≥ (3) 16 1X 41>≥ 解: (1)若要2 1 X > ,只要a1=1,a2~a6不全为0即可。 (2)若要8 1 X ≥,只要a1~a3不全为0即可。 (3)若要 16 1X 41>≥,只要a1=0,a2可任取0或1; 当a2=0时,若a3=0,则必须a4=1,且a5、a6不全为0; 若a3=1,则a4~a6可任取0或1; 当a2=1时, a3~a6均取0。 3. 设x 为整数,[x]补=1,x1x2x3x4x5,若要求 x < -16,试问 x1~x5 应取何值? 解:若要x < -16,需 x1=0,x2~x5 任意。(注:负数绝对值大的补码码值反而小。) 4. 设机器数字长为8位(含1位符号位在内),写出对应下列各真值的原码、补码和反码。 -13/64,29/128,100,-87 解:真值与不同机器码对应关系如下: 5. 已知[x]补,求[x]原和x 。 [x1]补=1.1100; [x2]补=1.1001; [x3]补=0.1110; [x4]补=1.0000; [x5]补=1,0101; [x6]补=1,1100; [x7]补=0,0111; [x8]补=1,0000; 解:[x]补与[x]原、x 的对应关系如下: 6. 设机器数字长为8位(含1位符号位在内),分整数和小数两种情况讨论真值x 为何值时,[x]补=[x]原成立。 解:当x 为小数时,若x ≥ 0,则 [x]补=[x]原成立; 若x < 0,当x= -1/2时,[x]补=[x]原=1.100 0000,则 [x]补=[x]原成立。 当x 为整数时,若x ≥0,则 [x]补=[x]原成立; 若x< 0,当x= -64时,[x]补=[x]原=1,100 0000,则 [x]补=[x]原成立。 7. 设x 为真值,x*为绝对值,说明[-x*]补=[-x]补能否成立。 解:当x 为真值,x*为绝对值时,[-x*]补=[-x]补不能成立。原因如下: (1)当x<0时,由于[-x*]补是一个负值,而[-x]补是一个正值,因此此时[-x*]补=[-x]补不成立; (2)当x ≥0时,由于-x*=-x ,因此此时 [-x*]补=[-x]补的结论成立。 8. 讨论若[x]补>[y]补,是否有x>y ? 解:若[x]补>[y]补,不一定有x>y 。 [x]补 > [y]补时 x > y 的结论只在 x > 0且y > 0,及 x<0且y<0时成立。

计算机组成原理作业~第三章

一、选择题 1、EPROM是指___D___。 A. 读写存储器 B. 只读存储器 C. 可编程的只读存储器 D. 光擦除可编程的只读存储器 2、计算机系统中的存贮器系统是指__D__。 A RAM存贮器 B ROM存贮器 C 主存贮器 D cache、主存贮器和外存贮器 3、存储单元是指__B__。 A 存放一个二进制信息位的存贮元 B 存放一个机器字的所有存贮元集合 C 存放一个字节的所有存贮元集合 D 存放两个字节的所有存贮元集合; 4、相联存贮器是按__C_进行寻址的存贮器。 A 地址方式 B 堆栈方式 C 内容指定方式 D 地址方式与堆栈方式 5、存储器是计算机系统的记忆设备,主要用于___D___。 A.存放程序 B.存放软件 C.存放微程序 D.存放程序和数据 6、外存储器与内存储器相比,外存储器___B___。 A.速度快,容量大,成本高 B.速度慢,容量大,成本低 C.速度快,容量小,成本高 D.速度慢,容量大,成本高 7、一个256K×8的存储器,其地址线和数据线总和为___C___。 A.16 B.18 C.26 D.20 8、某SRAM芯片,存储容量为64K×16位,该芯片的地址线和数据线数目为__D__。 A 64,16 B 16,64 C 64,8 D 16,16 。 9、交叉存贮器实质上是一种__A__存贮器,它能_____执行______独立的读写操作。 A 模块式,并行,多个 B 模块式串行,多个 C 整体式,并行,一个 D 整体式,串行,多个 10、存储器是计算机系统中的记忆设备,它主要用来__C___。 A. 存放数据 B. 存放程序 C. 存放数据和程序 D. 存放微程序 11、某计算机的字长16位,它的存储容量是64KB,若按字编址,那么它的寻址范围是 ___B___。 A. 64K B.32K C. 64KB D. 32KB 12、存储单元是指__A__。 A.存放一个机器字的所有存储元 B.存放一个二进制信息位的存储元 C.存放一个字节的所有存储元的集合 D.存放两个字节的所有存储元的集合13、机器字长32位,其存储容量为4MB,若按字编址,它的寻址范围是_A__。 A. 1M B. 1MB C. 4M D. 4MB

《计算机组成原理》第6章习题答案

第 6 章习题答案 1 .控制器有哪几种控制方式?各有何特点? 解:控制器的控制方式可以分为3 种:同步控制方式、异步控制方式和联合控方式。同步控制方式的各项操作都由统一的时序信号控制,在每个机器周期中产生统一目的节拍电位和工作脉冲。这种控制方式设计简单,容易实现;但是对于许多简单指令说会有较多的空闲时间,造成较大数量的时间浪费,从而影响了指令的执行速度。 异步控制方式的各项操作不采用统一的时序信号控制,而根据指令或部件的具体况决定,需要多少时间,就占用多少时间。异步控制方式没有时间上的浪费,因而提高机器的效率,但是控制比较复杂。 联合控制方式是同步控制和异步控制相结合的方式。 2.什么是三级时序系统? 解:三级时序系统是指机器周期、节拍和工作脉冲。计算机中每个指令周期划分若干个机器周期,每个机器周期划分为若干个节拍,每个节拍中设置一个或几个工脉冲。3.控制器有哪些基本功能?它可分为哪几类?分类的依据是什么? 解:控制器的基本功能有: (1) 从主存中取出一条指令,并指出下一条指令在主存中的位置。 (2) 对指令进行译码或测试,产生相应的操作控制信号,以便启动规定的动作。 (3) 指挥并控制CPU主存和输入输出设备之间的数据流动。 控制器可分为组合逻辑型、存储逻辑型、组合逻辑与存储逻辑结合型 3 类,分类的依据在于控制器的核心一一微操作信号发生器(控制单元CU)的实现方法不同。 4 .中央处理器有哪些功能?它由哪些基本部件所组成? 解:从程序运行的角度来看,CPU的基本功能就是对指令流和数据流在时间与空间上实施正确的控制。对于冯?诺依曼结构的计算机而言,数据流是根据指令流的操作而形成的,也就是说数据流是由指令流来驱动的。 中央处理器由运算器和控制器组成。 5 .中央处理器中有哪几个主要寄存器?试说明它们的结构和功能。 解:CPU中的寄存器是用来暂时保存运算和控制过程中的中间结果、最终结果及控制、状态信息的,它可分为通用寄存器和专用寄存器两大类。 通用寄存器可用来存放原始数据和运算结果,有的还可以作为变址寄存器、计数器、地址指针等。专用寄存器是专门用来完成某一种特殊功能的寄存器,如程序计数器PC指令 寄存器IR、存储器地址寄存器MAR存储器数据寄存器MDR状态标志寄存器PSWF等。 15 、什么是微命令和微操作?什么是微指令?微程序和机器指令有何关系?微程序和程序之间有何关系? 解:微命令是控制计算机各部件完成某个基本微操作的命令。微操作是指计算机中最基本的、不可再分解的操作。微命令和微操作是一一对应的,微命令是微操作的控制信号,微操作是微命令的操作过程。 微指令是若干个微命令的集合。微程序是机器指令的实时解释器,每一条机器指令都对应一个微程序。 微程序和程序是两个不同的概念。微程序是由微指令组成的,用于描述机器指令,实际上是机器指令的实时解释器,微程序是由计算机的设计者事先编制好并存放在控制储器中的,一般不提供给用户;程序是由机器指令组成的,由程序员事先编制好并存放在主存储器中。 16.什么是垂直型微指令?什么是水平型微指令?它们各有什么特点? 解:垂直型微指令是指一次只能执行一个微命令的微指令;水平型微指令是指一次能定义并能

计算机组成原理第四章单元测试题

存储系统(一)单元测验 1、CPU可直接访问的存储器是 A、磁盘 B、主存 C、光盘 D、磁带 2、主存储器和CPU之间增加高速缓冲存储器(Cache)的目的是 A、提高存储系统访问速度 B、简化存储管理 C、扩大主存容量 D、支持虚拟存储技术 3、存储字长是指 A、存储器地址线的二进制位数 B、存放在一个存储单元中的二进制位数 C、存储单元总数 D、寄存器的数据位数 4、计算机字长32位,主存容量为128MB,按字编址,其寻址范围为 A、0 ~ 32M-1 B、0 ~ 128M-1 C、0 ~ 64M-1 D、0 ~ 16M-1 5、字位结构为256Kx4位SRAM存储芯片,其地址引脚与数据引脚之和为 A、18 B、22 C、24 D、30 6、某SRAM芯片,存储容量为64K×16位,该芯片的地址线和数据线数目分别为 A、64,16 B、16,64 C、16,16 D、64,64 7、假定用若干块4K *4位的存储芯片组成一个8K*8位的存储器,则地址0B1F所在芯片的最小地址是 A、0000H B、0600H C、0700H D、0B00H

8、计算机系统中的存贮器系统是指 A、RAM和ROM存贮器 B、Cache C、磁盘存储器 D、Cache、主存贮器和外存贮器 9、用若干片2K′4位的存储芯片组成一个8K′8位的存储器,则地址0B1FH所在的芯片在全局的最大地址是 A、0CFFH B、0BFFH C、1BFFH D、0FFFH 10、动态存储器刷新以()为单位进行 A、存储单元 B、行 C、列 D、字节 11、下列存储器类型中,速度最快的是 A、DRAM B、Flash Memory C、SRAM D、EPROM 12、某计算机字长32位,下列地址属性中属于按双字长边界对齐的是 A、存储器地址线低三位全部为0 B、存储器地址线低二位全部为0 C、存储器地址线最低为0 D、存储器地址线低三位取值随意 13、在32位的机器上存放0X12345678,假定该存储单元的最低字节地址为0X4000,则在小端存储模式下存在在0X4002单元的内容是 A、0X12 B、0X34 C、0X56 D、0X78 14、关于内存的下列说法中,错误的是 A、内存的存取速度不能低于CPU速度,否则会造成数据丢失 B、程序只有在数据和代码等被调入内存后才能运行 C、采用虚拟内存技术后程序可以在硬盘上直接运行 D、某计算机内存容量为8GB,按字节编址,那么它的地址总线为33位

《计算机组成原理》第3章习题答案

第3章习题解答 1.指令长度和机器字长有什么关系?半字长指令、单字长指令、双字长指令分别表示什么意思? 解:指令长度与机器字长没有固定的关系,指令长度可以等于机器字长,也可以大于或小于机器字长。通常,把指令长度等于机器字长的指令称为单字长指令;指令长度等于半个机器字长的指令称为半字长指令;指令长度等于两个机器字长的指令称为双字长指令。 2.零地址指令的操作数来自哪里?一地址指令中,另一个操作数的地址通常可采用什么寻址方式获得?各举一例说明。 解:双操作数的零地址指令的操作数来自堆栈的栈顶和次栈顶。双操作数的一地址指令的另一个操作数通常可采用隐含寻址方式获得,即将另一操作数预先存放在累加器中。例如,前述零地址和一地址的加法指令。 3.某机为定长指令字结构,指令长度16位;每个操作数的地址码长6位,指令分为无操作数、单操作数和双操作数三类。若双操作数指令已有K种,无操作数指令已有L种,问单操作数指令最多可能有多少种?上述三类指令各自允许的最大指令条数是多少? 解:X= (24一K)×26一[L/26] 双操作数指令的最大指令数:24一1。 单操作数指令的最大指令数:15×26一l(假设双操作数指令仅1条,为无操作数指令留出1个扩展窗口)。 无操作数指令的最大指令数:216一212一26。其中212为表示某条二地址指令占用的编码数,26为表示某条单地址指令占用的编码数。此时双操作数和单操作数指令各仅有1条。 4.设某机为定长指令字结构,指令长度12位,每个地址码占3位,试提出一种分配方案,使该指令系统包含:4条三地址指令,8条二地址指令,180条单地址指令。 解:4条三地址指令 000 XXX YYY ZZZ . . 011 XXX YYY ZZZ 8条二地址指令 100 000 XXX YYY . . 100 111 XXX YYY 180条单地址指令 101 000 000 XXX . . 111 110 011 XXX 5.指令格式同上题,能否构成: 三地址指令4条,单地址指令255条,零地址指令64条?为什么? 解:三地址指令4条 000 XXX YYY ZZZ

计算机组成原理课后答案第四章_庞海波

第四章思考题与习题 1.解释下列概念主存、辅存、Cache、RAM、SRAM、DRAM、ROM、PROM、EPROM、EEPROM、CDROM、Flash Memory 答: 主存:与CPU 直接交换信息,用来存放数据和程序的存储器。 辅存:主存的后援存储器,不与CPU 直接交换信息。 CACHE:为了解决CPU 和主存的速度匹配,设在主存与CPU之间,起缓冲作用,用于提高访存速度的一种存储器。 RAM:随机存储器:是随机存取的,在程序执行过程中既可读出也可写入,存取时间与存储单元所在位置无关。 SRAM:静态RAM,以触发器原理存储信息。 DRAM:动态RAM,以电容充放电原理存储信息。 ROM:只读存储器,在程序执行过程中只能读出,而不能对其写入。 PROM:一次性编程的只读存储器。 EPROM:可擦除的可编程只读存储器,用紫外线照射进行擦写。 EEPROM:用电可擦除的可编程只读存储器。 CDROM:只读型光盘 Flash Memory:快擦型存储器,是性能价格比好,可靠性高的可擦写非易失型存储器 2.计算机中哪些部件可用于存储信息,请按其速度、容量和价格/位排序说明。 答: 寄存器、缓存、主存、磁盘、磁带等。 速度按顺序越来越慢,容量越来越高和价格/位越来越低 3.存储器的层次结构主要体现在什么地方为什么要分这些层次,计算机如何管理这些层次答:存储器的层次结构主要体现在Cache—主存和主存—辅存这两个存储层次上。 Cache—主存层次在存储系统中主要对CPU访存起加速作用,即从整体运行的效果分析,接近于Cache的速度,而容量和位价却接近于主存。 主存—辅存层次在存储系统中主要起扩容作用,其容量和位价接近于辅存,而速度接近于主存 4.说明存取周期和存取时间的区别。 答: 存取周期和存取时间的主要区别是:存取时间仅为完成一次存取操作的时间,而存取周期不仅包含操作时间,还包含操作后线路的恢复时间。即: 存取周期= 存取时间+ 恢复时间 5.什么是存储器的带宽若存储器的数据总线宽度为32 位,存取周期为200ns,则存储器的带宽是多少 解:存储器的带宽指单位时间内从存储器进出信息的最大数量。 存储器带宽= 1/200ns×32位= 160M位/秒= 20MB/S = 5M字/秒 6.某机字长为32 位,其存储容量是64KB,按字编址它的寻址范围是多少若主存以字节编

计算机组成原理第六章答案

计算机组成原理第六章 答案 文档编制序号:[KKIDT-LLE0828-LLETD298-POI08]

1. 写出下列各数的原码、反码、补码、移码(用8位二进制表示),其中MSB是最高位(符号位),LSB是最低位。如果是小数,则小数点在MSB之后;如果是整数,则小数点在LSB之后。 (1)-59/64 (2)27/128 (3)-127/128 (4)用小数表示-1 (5)用整数表示-1 (6)-127 (7)35 (8)-128 2. 设[x]补=,其中x i取0或1,若要使x>-,则x0、x1、x2、x3、x4的取值应满足什么条件 3. 若32位定点小数的最高位为符号位,用补码表示,则所能表示的最大正数为,最小正数为,最大负数为,最小负数为;若32位定点整数的最高位为符号位,用原码表示,则所能表示的最大正数为,最小正数为,最大负数为,最小负数为。 4. 若机器字长为32位,在浮点数据表示时阶符占1位,阶码值占7位,数符占1位,尾数值占23位,阶码用移码表示,尾数用原码表示,则该浮点数格式所能表示的最大正数为,最小正数为,最大负数为,最小负数为。 5. 某机浮点数字长为18位,格式如图所示,已知阶码(含阶符)用补码表示,尾数(含数符)用原码表示。 (1)将(-1027)10表示成规格化浮点数; (2)浮点数(0EF43)16是否是规格化浮点数它所表示的真值是多少 图浮点数的表示格式 6. 有一个字长为32位的浮点数,格式如图所示,已知数符占1位;阶码占8位,用移码表示;尾数值占23位,尾数用补码表示。 图浮点数的表示格式 请写出: (1)所能表示的最大正数; (2)所能表示的最小负数; (3)规格化数所能表示的数的范围。 7. 若浮点数x的IEEE754标准的32位存储格式为(8FEFC000)16,求其浮点数的十进制数值。 8. 将数10转换成IEEE754标准的32位浮点数的二进制存储格式。

《计算机组成原理》第三章课后题参考答案

第三章课后习题参考答案 1.有一个具有20位地址和32位字长的存储器,问: (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K×8位SRAM芯片组成,需要多少芯片? (3)需要多少位地址作芯片选择? 解:(1)∵ 220= 1M,∴ 该存储器能存储的信息为:1M×32/8=4MB (2)(1024K/512K)×(32/8)= 8(片) (3)需要1位地址作为芯片选择。 3.用16K×8位的DRAM芯片组成64K×32位存储器,要求: (1) 画出该存储器的组成逻辑框图。 (2) 设DRAM芯片存储体结构为128行,每行为128×8个存储元。如单元刷新间隔不超过2ms,存储器读/写周期为0.5μS, CPU在1μS内至少要访问一次。试问采用哪种刷新方式比较合理?两次刷新的最大时间间隔是多少?对全部存储单元刷新一遍所需的实际刷新时间是多少? 解:(1)组成64K×32位存储器需存储芯片数为 N=(64K/16K)×(32位/8位)=16(片) 每4片组成16K×32位的存储区,有A 13-A 作为片内地址,用A 15 A 14 经2:4译码 器产生片选信号,逻辑框图如下所示:

(2)根据已知条件,CPU在1us内至少访存一次,而整个存储器的平均读/写周期为0.5us,如果采用集中刷新,有64us的死时间,肯定不行; 所以采用分散式刷新方式: 设16K×8位存储芯片的阵列结构为128行×128列,按行刷新,刷新周期T=2ms,则分散式刷新的间隔时间为: t=2ms/128=15.6(s) 取存储周期的整数倍15.5s(0.5的整数倍) 则两次刷新的最大时间间隔发生的示意图如下 可见,两次刷新的最大时间间隔为t MAX =15.5×2-0.5=30.5 (μS) t MAX 对全部存储单元刷新一遍所需时间为t R =0.5×128=64 (μS) t R 4.有一个1024K×32位的存储器,由128K×8位DRAM芯片构成。问: (1)总共需要多少DRAM芯片? (2)设计此存储体组成框图。 (3)设DRAM芯片存储体结构为512行,每行为256×8个存储元。采用分散式刷新方式,如单元刷新间隔不超过8ms,则刷新信号周期是多少?

相关文档
最新文档