poc报告

合集下载

VMware Horizon 6 POC测试报告

VMware Horizon 6 POC测试报告

VMware Horizon™ 6POC测试报告20xx 年 x月客户名称:<客户公司>编制人:<合作伙伴>[此处为合作伙伴徽标]目录 (4)一、解决方案概述 (4)1.1 市场驱动 (4)1.2 业务挑战 (4)1.3 解决方案 (4)1.4 价值体现 (5)二、测试简介 (5)2.1 测试内容 (6)2.2 测试厂家 (7)2.3 时间安排 (7)2.3 测试结论 (7)三、附录 (8)3.1 测试环境 (8)3.1.1 硬件配置 (8)3.1.2软件配置 (8)3.1.3 网络配置 (8)3.1.4 逻辑架构 (8)3.1.5 系统架构 (8)3.1.6 测试工具(可选) (9)3.2测试用例 (9)3.2.1基本功能测试 (9)3.2.2 显示效果测试 (12)3.3 业务功能测试 (13)3.4 兼容性测试 (13)3.4.1 系统兼容性测试 (13)3.4.2 外设兼容性测试 (14)3.5 性能测试 (15)3.5.1 服务器压力测试 (15)3.5.2 桌面交付性能测试 (16)3.5.3 网络适用性测试 (17)3.6 运维管理测试 (17)3.7 系统安全测试 (20)下文中置于【】之内的文字仅供参考,请在文档完成后删除(包括【】符号本身),不要包含在正式文档中,谢谢。

一、解决方案概述1.1 市场驱动【简述客户信息化项目的背景。

客户所在行业?客户为何想采用View/Mirage/Workspace/vC Ops for View?安全合规性?PC设备更新?移动办公?统一通讯?操作系统迁移?3D图像处理?】1.2 业务挑战【清楚介绍客户当前遇到的业务挑战,比如“移动终端的数据泄密或者失窃”、“多平台终端支持”、“降低IT运维成本”、“提高员工工作效率”、“无法保障终端维护的SLA”等等等等】1.3 解决方案【基于以上的市场驱动和业务挑战来选择一种或者多种解决方案o移动安全工作空间o业务流程桌面o分支机构桌面o永不停机桌面o基于VSAN存储的Horizon 6环境o vSGA/vDGA 高端3D显示桌面o Windows XP迁移请提供以下截屏(根据所选解决方案不同而有所不同)•所布署产品的安全证书的截图•View Client连接应用发布的截图•Mirage的工作截图•Workspace的首页截图•vC Ops for View的工作截图•vCO工作流截图】•vSGA/vDGA场景截图1.4 价值体现【基于以上的市场驱动和业务挑战来阐述一种或者多样价值体现,比如保护知识产权、提高劳动生产率、提升SLA满意度、节约IT投资,等等,以下为示范】客户在实施了使用 VMware View桌面虚拟化的桌面解决方案后,可以获得如下好处:提高资源利用率:由于一台服务器可以运行多个桌面环境,因此客户能够有效集中硬件资源。

Veeam Availability Suite-POC测试报告 v1.0

Veeam Availability Suite-POC测试报告 v1.0

某某客户Veeam Availability Suite v9 POC 测试报告目录1测试介绍和目的 (4)2系统架构 (6)2.1部署拓扑图 (6)2.2测试环境信息 (7)2.3组件介绍 (7)Veeam Backup Server (7)Backup Proxy (8)Backup Repository (9)Veeam ONE Server (9)3功能验证 (11)3.1与VMware集成 (11)特点 (11)POC验证截图 (11)3.2备份任务的负载均衡 (13)特点 (13)POC验证截图 (14)3.3备份空间的灵活扩展 (14)特点 (14)POC验证截图 (15)3.4数据备份 (16)特点 (16)POC验证截图 (16)3.5数据恢复 (20)特点 (20)POC验证截图 (21)3.6即时恢复(高速迁移) (22)特点 (22)POC验证截图 (23)3.7SureBackup(验证备份可恢复性) (24)特点 (24)POC验证截图 (26)3.8Replication复制功能 (26)特点 (26)POC验证截图 (27)4测试记录 (31)4.1常规项目测试 (31)系统安装搭建过程 (31)系统操控性与界面友好性 (32)4.2备份策略与任务 (33)4.3虚拟机的备份功能、监测与性能 (36)虚拟机的image恢复功能、监测与性能 (37)虚拟机的instance恢复功能、监测与性能 (38)4.4虚拟机恢复验证测试策略功能(SureBackup) (40)4.5虚拟机复制功能、监测与性能 (41)4.6Veeam one监控报表功能 (42)5测试结论 (44)1 测试介绍和目的某某客户(以下简称:)使用了VMware虚拟化作为应用系统的基础架构,虚拟化环境已经投入xx百台ESXi物理服务器,当前VM虚机规模1xxx左右.虚拟机系统以Linux为主(Linux系统占虚拟机的x0%,Windows系统占虚拟机的x0%),为避免虚机系统因人员误操作、安全漏洞、设备故障等原因造成的数据损坏或业务中断,目前宜信正在为提升服务器虚拟化平台的数据完整性、业务连续性做调研和论证.本次测试主要针对用户VMWARE平台的备份/恢复工作,通过使用Veeam旗舰级产品Veeam Availability Suite软件套件进行全面、深入的测试,来检验Veeam数据保护和管理系统是否能满足用户对数据完整性和可用性、业务连续性等各类迫切的实际需求,为本次项目提供更有效、更可靠、更全面的技术参考与实际测试依据,此次测试内容如下:2 系统架构2.1 部署拓扑图本次POC部署拓扑图如下所示:图表2-1 POC环境部署图测试环境准备:1、采用了1台服务器(本次使用虚拟机进行测试)部署Veeam Backup Server和Veeam VeeamONE Server。

Nutanix 超融合平台POC测试报告

Nutanix 超融合平台POC测试报告

Nutanix 超融合平台POC测试报告目录目录 (2)1前言 (4)1.1测试背景 (4)1.2测试目的 (4)1.3测试环境 (4)1.4测试项目汇总 (6)1.5测试总结 (6)2Nutanix开箱易用的快速部署和扩展 (8)2.1使用Foundation快速部署vSphere&CVM (8)2.2快速初始化配置 (9)2.3Prism管理控制台界面易操作 (11)2.4Prism Central多集群管理界面 (11)2.5直观和丰富的报表功能 (11)2.6快速备份和恢复 (12)2.7演示CLI的易用性 (14)2.8硬件远程维护 (15)2.9其他Nutanix软件测试 (15)2.10小结 (16)3Nutanix超融合架构和传统SAN架构的性能对比测试 (17)3.1虚拟机vMotion对比测试 (17)3.2虚拟机Storage vMotion对比测试 (19)3.3虚拟机模版部署对比测试 (19)3.4小结 (19)4Nutanix冗余测试 (20)4.1CVM冗余测试 (20)4.2电源冗余测试 (22)4.3链路冗余测试 (23)4.4节点冗余测试 (24)4.5磁盘冗余测试 (26)4.6小结 (26)5压力测试 (27)5.1Diagnostics 测试 (27)5.2IOMeter对比测试 (28)5.3小结 (30)6横向扩展性测试 (31)6.1小结 (35)7Acropolis测试 (36)7.1KVM中管理地址VLAN修改 (36)7.2KVM中CVM地址VLAN修改 (36)7.3迁移vSphere虚拟机到KVM (36)7.4小结 (43)8应用测试 (44)8.1Loadrunner对比测试 (44)8.2小结 (44)9Nutanix常用功能点测试 (45)9.1Cluster SAICMotor -- 显示配置集群管理地址 (45)9.2Update Profile -- 更新用户信息 (45)9.3Change Password -- 更改用户登录口令 (46)9.4REST API Explorer -- 查询所有Rest API接口 (46)9.5Download nCLI -- 下载nCLI (47)9.6Download Cmdlets Installer -- 下载并安装windows Cmdlets工具包 (48)9.7About Nutanix -- 查询Nutanix版本以及license信息 (49)9.8Support Portal -- 打开技术支持中心网站 (50)9.9Help -- 打开文档中心网站 (50)9.10Health Tutorial -- 集群健康检查功能演示 (51)9.11Nutanix Next Community -- 打开Nutanix社区网站 (51)9.12Licensing -- 更新集群License (52)9.13Authentication Configuration -- 添加AD域管理验证 (53)9.14Role Mapping (53)9.15Create User -- 创建用户 (54)9.16SMTP Server -- 配置邮件服务器地址 (55)9.17Alert Email Configuration -- 配置告警邮件 (55)9.18Alert Policies -- 告警策略配置 (56)9.19Filesystem Whitelists -- 文件系统白名单 (57)9.20Upgrade Software (57)9.21Welcome Banner -- 自定义欢迎信息或者免责声明等 (59)9.22Name Server -- 配置Nutanix的DNS服务器地址 (59)9.23Management Servers -- 制定vSphere管理地址URL (60)9.24NTP Servers -- 配置NTP服务器 (60)9.25小结 (60)1前言1.1测试背景<公司介绍>随着业务的不断增长,在新一代的信息化建设中,具备横向扩展、快速部署、易管理等特征的解决方案逐渐成为标准,并被越来越多的企业所认可。

惠普超融合系统-POC测试报告

惠普超融合系统-POC测试报告

惠普超融合系统POC测试报告目录目录 (2)1前言 (4)1.1测试背景 (4)1.2测试目的 (4)1.3测试环境 (4)1.4测试项目概述 (6)1.5测试小结 (6)2综合功能测试 (10)2.1简易安装部署 (10)2.1.1基于Oneview instant on的配置和安装 (11)2.1.2基于oneview以及vcenter插件管理工具的快速初始化配置 (12)2.2Oneview 统一管理运维工具 (12)2.2.1Oneview管理概览 (12)2.2.2系统健康检查 (13)2.2.3硬件状态检查 (14)2.2.4基于Lun的快照 (15)2.3高可用性测试 (16)2.3.1基于节点故障的高可用性测试 (16)2.3.2基于单/多节点硬盘故障的可用性测试 (17)2.3.3基于单个电源故障的可用性测试 (18)2.3.4基于站点的容灾测试(可选) (18)2.3.5扩展测试(可选) (18)2.4小结 (19)3HC 250 平台性能测试 (20)3.1硬件配置(单节点配置) (20)3.1.1HP HC250 (20)3.1.2Storage存储 (20)3.1.3Network网络 (20)3.2基本I/O性能测试(可选) (20)3.2.1最大IOPS性能测试 (20)3.2.24k 75%读25%写30%随机性能测试 (22)3.2.38k 70%读30%写60%随机性能测试 (25)3.2.41024k连续100%读性能测试 (27)3.2.51024k连续100%写性能测试 (29)3.3实际应用场景性能测试 (32)3.4小结 (32)1前言1.1测试背景虚拟化技术是云计算的关键技术之一,随着云计算技术的逐步推广,虚拟化环境下传统集中存储架构被越来越多地诟病,超融合架构越来越多地成为虚拟化环境中的首选基础架构。

由于其横向扩展、快速部署、易管理、节省机柜空间和耗电等,已在制造、金融、电信、电力等行业的数据中心领域得到部署和应用。

vmware VSAN POC测试报告模板

vmware VSAN POC测试报告模板

VSAN POC SummaryBy partner of:POC Completion date:1.POC背景及用户潜在需求1.1.POC背景包括用户信息,合作伙伴信息,前期做了哪些相关工作:技术交流,需求探讨等。

XXX学校是上海唯一一所培养警务人才的全日制公安高等院校,也是全国唯一一所被教育部、财政部评审为国家示范性高等职业院校的公安院校。

建校65年来,学校为上海乃至全国公安政法战线培养、输送了3.5万余名毕业生,培训在职民警30余万人次,被誉为“警察的摇篮、警官的熔炉”。

学校占地面积为713亩,校舍建筑面积达12万余平方米,分为浦东、莘庄两个校区。

2013年12月,公安部、教育部将全国公安职业教育教学指导委员会秘书处设在学校。

2009年,孟建柱、韩正等领导莅临学校视察,孟建柱同志肯定学校:“是一所勇于改革创新、公安特色鲜明、办学成效显著的公安院校,为我国公安教育全面走上职业教育发展道路作出了积极贡献”,并勉励学校:“始终成为我国公安教育改革大潮中的排头兵、领头羊”。

上海XX电子科技有限公司作为上海公XX学校传统供应商,长期在软硬件采购,整体集成方案等方面为用户提供优质的服务。

前期客户本打算采购传统的外置存储,在上海XX公司以及我们的多次沟通努力下,用户逐渐认识到VSAN不但可以满足他们的业务需求,并且还可以带来成本低,灵活易用等区别于传统存储的优势。

为了验证上述VSAN的价值和优势,我们特此组织了此次POC测试。

1.2.用户潜在需求针对VSAN方案,用户的concern和潜在的需求在哪。

上海XX学校的应用系统主要需求在容量以及扩展性方面,VSAN能满足用户的这些业务诉求。

但是同时用户了解到VSAN是刚推出的解决方案,其成熟度还有待市场验证。

2.POC环境2.1.POC目标主要描述此次POC欲达成的目标及用户想要实现的需求。

本次测试由上海XX电子科技有限公司主持,VMware公司配合,通过此次测试需要达到以下目的:●验证VSAN与上海XX学校的业务环境的兼容性;●验证VSAN成本低,灵活易用等特性和优势●进而得出VSAN的可行性结论。

Citrix XenServer 5.0 - POC安装和测试报告

Citrix XenServer 5.0 - POC安装和测试报告

POC Installation and Test ReportAll Rights Reserved, Copyright © FUJITSU 2009目录1XenServer虚拟化方案测试验证 (3)2Fujitsu XenServer虚拟化方案介绍 (3)2.1Citrix XenServer虚拟化软件介绍 (3)2.2Citrix XenServer软件功能介绍 (4)3XenServer安装 (5)3.1XenServer 5.0安装 (5)3.2安装Linux Pack (14)4XenCenter安装 (15)5XenServer配置 (18)5.1配置XenServer (18)5.2配置ETERNUS存储 (19)5.3创建ISO文件的Storage Repository (19)5.4配置ETERNUS存储的Storage Repository (21)6创建虚机 (24)7POC 结论汇总 (29)8附件 (30)1XenServer虚拟化方案测试验证POC说明:在Fujitsu PRIMEGY Blade Server环境上建立Citrix XenServer 5.0虚拟化环境,进行Citrix XenServer的虚拟化基本功能验证。

ISV : CitrixFujitsu PRIMEGRY | ETERNUS解决方案:Fujitsu XenServer虚拟化方案产品组成:Fujitsu Eternus 6000Citrix XenServer 5.0POC 日期:2009/04/28-2008/05/072Fujitsu XenServer虚拟化方案介绍2.1Citrix XenServer虚拟化软件介绍Citrix XenServer 服务器虚拟化系统通过更快的应用交付,更高的IT资源可用性和利用率,让数据中心变得更加灵活、高效。

XenServer在提供了关键工作负载(操作系统、应用和配置)所需的先进功能的同时,不会牺牲大规模部署所需的易于操作的特点。

A05-POC-AD-测试报告v6.0

xxx客户测试报告深信服科技股份有限公司修订历史编号修订内容简述修订日期修订前版本号修订后版本号修订人1 完成通用场景标准化POC测试报告编写20161118 1.0 1.0longfei本文中出现的任何文字叙述、文档格式、插图、照片、方法、过程等内容,除另有特别注明,版权均属深信服所有,受到有关产权及版权法保护。

任何个人、机构未经深信服的书面授权许可,不得以任何方式复制或引用本文的任何片断。

■版权声明目录目录 (3)第1章前言 (4)1.1 测试目的 (4)1.2 测试设备 (4)1.3 测试时间和人员 (4)1.4 测试项目 (4)1.5 测试环境 (5)第2章现场测试 (7)2.1 设备部署 (7)2.2 功能测试 (7)2.2.1 基本功能测试 (7)2.2.1.1 单臂部署 (7)2.2.1.2 VLAN(802.1q) (8)2.2.1.3 源地址转换 (8)2.2.1.4 网关模式部署 (8)2.2.1.5 链路聚合 (9)2.2.2 会话保持 (9)2.2.2.1 源IP会话保持 (9)2.2.2.2 Cookie插入 (10)2.2.2.3 Cookie被动 (12)2.2.2.4 Cookie改写 (14)2.2.2.5 HTTP Passive被动 (15)2.2.3 节点监视器 (17)2.2.3.1 ICMP监视器 (17)2.2.3.2 Connect-tcp监视器 (17)2.2.3.3 HTTP监视器 (17)2.2.3.4 TCP被动监视器 (18)2.2.3.5 HTTP被动监视器 (19)2.2.4 负载调度算法 (20)2.2.4.1 轮询 (20)2.2.4.2 优先级 (20)2.2.4.3 加权最小连接 (21)2.2.4.4 加权轮询 (21)2.2.5 性能优化 (22)2.2.5.1 HTTP压缩 (22)2.2.5.1 HTTP缓存 (22)2.2.5.2 源地址透传 (22)2.2.5.3 连接复用 (23)2.2.5.4 单边加速 (23)2.2.6 稳定保障 (24)2.2.6.1 温暖上线 (24)2.2.6.2 平滑退出 (25)2.2.6.3 浪涌保护 (25)2.2.6.4 故障重定向 (26)2.2.6.5 交换机冗余电源支持热插拔 (27)2.2.7 应用防护 (28)2.2.7.1 网络层DDOS防护 (28)2.2.7.2 应用层DDOS (29)2.2.7.3 实时漏洞分析 (32)第3章测试结论 (35)第1章前言1.1测试目的此方案介绍了项目测试准备工作和功能测试方法、效果展示方法。

桌面云项目POC测试报告

xxx项目POC桌面虚拟测试报告XXX201X年XX月修订记录目录1测试背景 (4)2POC测试构架 (4)3测试环境介绍 (5)4测试目的 (5)5人员分工 (5)6测试计划 (6)7测试内容及结果记录 (7)7.1功能测试 (7)7.2桌面虚拟化的管理测试 (8)1测试背景为满足xxx业务发展的需求,xxx采用citrix XenApp应用虚拟化平台作为应用发布系统,由于部分业务系统不支持在Windows Server服务器操作系统上运行,为满足业务的需求,现计划采用citrix xendesktop桌面虚拟化技术作为技术解决方案。

Citrix XenDesktop桌面虚拟化技术将用户桌面进行发布,其发布的桌面支持Win XP,Win Vista, Win 7等个人操作系统,其核心技术仍采用citrix ICA技术。

本次POC测试主要测试Citrix xendesktop在功能、用户体验、带宽占用、系统管理等方面,以验证citrix XenDesktop是否满足xxx业务发布的需求。

2POC测试构架本次测试只测试xendesktop的标准架构,不测试Xendesktop PVS工作模式;详细测试网络拓扑如下:3测试环境介绍本次测试的主机,由平安提供4台vmware虚拟机,分别担任citrix xendesktop桌面虚拟化环境的不同角色,如下:4测试场景概述详细介绍客户的应用场景特性,及本次POC测试涉及的场景。

5测试目的本次Citrix xendesktop测试需要从以下几个方面进行测试验证:●业务应用系统在citrix虚拟桌面环境下是否正常运行;●Citrix xendesktp桌面虚拟化相对于windows RDP技术的差别;●用户通过citrix xendesktop访问业务应用时,在带宽占用、用户体验方面的验证;●数据安全性:通过授权,保证用户数据的安全性;●Citirx xendesktop在管理方面的功能验证;●应用兼容性测试●桌面模式选择6人员分工•xxxIT人员:•xxx公司:本次测试由深圳Elink公司主导完成具体测试任务,xxx协助完成环境准备及测试验证工作:序号工作内容责任人备注1 测试方案确定2 测试硬件准备3 IP、网络端口申请、开放4 测试环境搭建5 测试环境优化6 功能、性能测试7 测试操作、数据采集7测试计划8测试内容及结果记录8.1功能测试8.2桌面虚拟化的管理测试9POC过程遇到的问题及解决方案10测试结论10.110.1、客户关注点10.210.2、总结应用兼容性外设兼容性桌面模式OA场景:研发场景多媒体场景呼叫中心场景营业厅场景资源规划OA桌面CPU:2vCPU 800MHz Memery:2GB Disk Capacity:40GB(Sys) 20GB(20GB)Disk IOPS: 16 (R/W=1:1)Network:400Kbps;研发桌面多媒体桌面呼叫中心桌面营业厅桌面。

报表系统POC测试项目报告


15-50秒
2-5秒
内存不足
优点
Cognos10版本在新服务器 上运行较现Cognos8各项 性能均有大幅提高。帆软 cube支持分布式生成,因 为机器数量原因此次未进行 测试。微策略生成cube时 在内存范围内速度较快, 2.8亿数据cube耗时10分钟。
缺点
微策略对内存需求极大。 帆软 cube生成速度有待使用分布式 测试,根据此次测试结果生成 时间较长,cube工具不支持全 过程生成日志。
帆软驾驶舱效果图
移动端体验比较
移动端体验:
APP 数据权限 微信对接 钉钉对接
Cognos 10 IOS和安卓
支持 未知 未知
Cognos 8 不支持 不支持 不支持 不支持
帆软
IOS和安卓 支持 支持 支持
微策略
未测试 未测试 未测试 未测试
优点
帆软有独立APP,微信和钉钉可以直接对接,减少接口开发工作量, 并且可以识别微信号和钉钉号进行数据权限控制。 苹果App Store中搜索数据分析V9即可体验
分页导出 离线下载
支持 不支持
大数量无法 使用
支持
支持
不支持
需要二次 开发
暂未反馈
分页导出:指清单导出时 候超过excel单个sheet页最 大量后自动增加sheet页 离线下载:查询耗时较长 的清单,点击后可以不用 等待页面展示,查询出来 结果后直接下载。
缺点
不支持sql自动优化,需要开发人员有良好的sql经
BI报表工具POC结果
对比汇报
2018年9月30日
主要内容
直线数据库查询效率比较 离线分析报表生成效率比较 图表驾驶舱体验比较 移动端体验比较 多维分析报表使用体验比较 开发敏捷度比较 控制台及日志比较

POC测试是什么

POC测试是什么POC测试,即Proof of Concept,是业界流⾏的针对客户具体应⽤的验证性测试,根据⽤户对采⽤系统提出的性能要求和扩展需求的指标,在选⽤服务器上进⾏真实数据的运⾏,对承载⽤户数据量和运⾏时间进⾏实际测算,并根据⽤户未来业务扩展的需求加⼤数据量以验证系统和平台的承载能⼒和性能变化。

特别是在应⽤系统选型阶段,⼀些⼤型企业的业务流程⽐较复杂,并⾮单⼀的功能性演⽰就能覆盖现实的业务需求,这时候需要事先划定⼀个⼩范围的实验对象(但是业务逻辑的复杂性要有典型性,有代表性),通过⼩范围的项⽬导⼊与实施,从真实业务的实践到战略意图的实现,来验证系统⽅案是否能满⾜⽤户的需求,从⽽作出更客观更准确的判断。

为什么要进⾏POC测试POC是企业对产品选择的⼀个重要参考依据。

最核⼼的是考察产品是否符合企业的实际需求,另外也侧⾯考察产品的真实功能或性能是否与⼚商宣传⼀致。

POC为企业购买产品吃了⼀颗“定⼼丸”,减少甲⼄双⽅在售后环节的摩擦。

但由于⼀些条件的限制,POC很难做得全⾯,所以如何设计POC内容是⾮常考验技术团队能⼒和经验的。

如何进⾏POC测试Step1:确定选型软件的实际需求越明细越好Demonstrate the need for the product在要开始进⾏POC测试前,甲⽅项⽬IT负责⼈应该尽可能地收集到业务⽅对软件产品和业务的实际需求。

甲⽅IT负责⼈应该很清楚地了解到业务部门对软件的期望及要达到的业务⽬标,并尽可能将其需求转化为⼄⽅可实际操作的POC测试需求。

POC的测试应该标注需求明细及要达到的实际⽬标值,可操作的⽅式,接受的结果或解决⽅案。

在⼀般的项⽬操作过程中,POC中的需求基本上是通过甲⽅IT的负责⼈与业务评估及可⾏性并达成⼀致后,由甲⽅整理并转换成IT中的功能需求项。

Step2:筛选合适的软件服务商及解决⽅案发出POC测试邀请Screening of suitable software service providers and solutions PoC test invitations occur在与业务需求⽅确定较为清晰的需求后,甲⽅IT负责⼈需要对需求进⾏评估,确定是⾃⾏研发软件满⾜业务需求还是在市场中选择合适的成熟的软件服务商进⾏需求实现。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

POC DesignPurposeThe purpose of this project is to design and simulate a parallel output controller (POC)which acts an interface between system bus and printer. The Altera’s Quartus II EDA tool is recommended and provided for simulation.TaskPOC is one of the most common I/O modules, namely the parallel output controller. It plays the role of an interface between the computer system bus and the peripheral (such as a printer or other output devices).Figure 1 Printer ConnectionFigure 1 shows the connecting of a printer to the system bus through the POC. The communication between POC and the printer is controlled by a “handshake” protocol illustrated in Figure 2.Figure 2 The handshake-timing diagram between POC and the printerThe handshaking process is described as follows: When the printer is ready to receive a character, it holds RDY=1.The POC must then hold a character at PD (parallel data)port and produce a pulse at the terminal TR (transfer request). The printer will change RDY to 0, take the character at PD and hold the RDY at 0 until the character has been printed (e.g. 5 or 10ms), then set RDY=1 again when it is ready to receive the next character. (Suppose the printer has only a one character “buffer” register, so that each character must be printed before the next character is sent).In order to ease your design work, the further explanations of the POC operations and some design hints are given as follows:The buffer register BR is used to hold a character that has been sent via the system bus while that the character is being transferred to the printer. The status register SR is used for two control functions: SR7serves as a ready flag for system bus transfers to BR (like the printer RDY signal for transfers from POC to the printer), and SR0 is used to enable or disable interrupt requests from POC. If SR0=1, then POC will interrupt when it is ready to receive a character (i.e., when SR7=1). If SR0=0, then POC will not interrupt. The other bits of SR are not used and empty.The transfer of a character to POC via the system bus proceeds as follows. POC indicates that it is ready by setting SR7.The processor reads SR (by executing a polling or interrupt service routine) and, finding SR7=1, writes a character to BR. The POC clears SR7 when it loads this character into BR to indicate that another character should not be sent for the moment. POC then proceeds to transfer the character in BR to the printer by generating a pulse at TR. The processor, in the meantime, continues to fetch and execute instructions .If it should happen to read SR, it will find SR7=0 and hence will not attempt to send another character to the printer. When the printer is ready to receive another character, POC sets SR7.The transfer cycle can now repeatThe overall connection of the simulated printer and POCDesign description of the simulation connectionclk -- system clock.reset -- input signal that resets the whole system.cs-- enable signal.rw-- input signal of POC that is the control of reading datas from POC to CPU or writing datas from CPU to POCmode -- input signal .when set to ‘0’,represents the mode of polling ;when set to’1’, represents the mode of interrupt.a -- 3 bits address bus.”000” represents the state of idle.”001” represents thestate of transfer data.d -- 8 bits data bus between CPU and POC.pd-- 8 bits data busl between POC and Printer.rdy-- the signal which reprensents the state of printer.tr-- a pulse signal from POC to make the rdy be low-level.irq-- Interrupt signal from POC to CPU.Simulation results and explanation1.The simulation wave of printer moduleExplanation: When the printer is ready to receive a character, it holds RDY=1.The POC must then hold a character at PD (parallel data) port and produce a pulse at the terminal TR (transfer request). Then the printer will change RDY to 0, take the character at PD and hold the RDY at 0 until the character has been printed (e.g. 5 or 10ms), then set RDY=1 again when it is ready to receive the next character.2.The simulation wave of polling mode without printerExplanation: In polling mode, the singal mode=’0’ and The irq signal is always ‘0’ ,rw and a are singals from cpu to poc to control the action of poc.rw=’1’ and A=’000’represents the state of idle.rw=’1’and A=’001’represents the state of transfer data and print. When a pulse signal tr arrive,the data is send to pd from d , After the tr signal we can see that the input rdy signal change to 0.3.The simulation wave of interrupt mode without printerExplanation: In interrupt mode,mode=1 and rw and A are signals from cpu to poc to control the action of poc.rw=’1’ and A=’000’ represents the state of idle.rw=’1’ and A=’001’ represents the state of transfer data and print.after a irq signal ‘1’is send to cpu,a data is send to br from cpu.then a pulse signal tr is send to printer,the data is send to pd from br at the same time , After the tr signal we can see that the input rdy signal change to 0.4.The simulation wave of poc with printer in both modeExplanation:There are two types working mode: In polling mode, the singal mode=’0’ and The irq signal is always ‘0’ ,rw and a are singals from cpu to poc to control the action of poc.rw=’1’and A=’000’represents the state of idle.rw=’1’and A=’001’represents the state of transfer data and print. In interrupt mode,mode=1 and rw and A are signals from cpu to poc to control the action of poc.rw=’1’and A=’000’represents the state of idle.rw=’1’and A=’001’represents the state of transfer data and print.after a irq signal ‘1’is send to cpu,a data is send to br from cpu. Then the data is send to pd from br at the same time.In both mode the POC design meets the requirement of experiment and the results match with theoretical results.Conclusions and DiscussionsIn both polling mode and interrupt mode.The POC design meets the requirement of experiment and the results match with theoretical results.In the simulation,I find it difficult to meet the requirement of experiment strictly in timing simulation. so I use functional simulation to test the circuit and have got the right results.Appendixpoc.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity poc isport(clk,reset,cs,rdy,rw: in std_logic;mode: in std_logic;a:in std_logic_vector(2 downto 0);d:in std_logic_vector(7 downto 0);tr,irq:buffer std_logic;pd:out std_logic_vector(7 downto 0));end entity poc;architecture behave of poc istype state_type is (idle,busy);signal state:state_type;signal sr,br: std_logic_vector(7 downto 0);begin process(clk)begin if(cs='1') thenif(clk'event and clk='1')thenif(reset='0')thenif(mode='0')then irq<='0';tr<='0';sr<="10000000";else irq<='1';tr<='0';sr<="10000001";end if;end if;if(reset='1')thenif(rw='1'and a="000")thenif(mode='0')then irq<='0';tr<='0';sr<="10000000";else sr<="10000001";tr<='0';irq<='1';end if;elsif(rw='1'and a="001")thenif(mode='0') thenif(rdy='1'and sr(7)='1') then br<=d;sr(7)<='0';end if;if(rdy='1'and sr(7)='0')then pd<=br;tr<='1';end if;if(rdy='0'and tr='1')then tr<='0';sr(7)<='1';end if;end if;if(mode='1')thenif(rdy='1'and irq='1')thenbr<=d;irq<='0';sr<="00000000";end if;if(sr(1)='0'and sr(7)='0')then pd<=br;tr<='1';end if;if(rdy='0'andtr='1')then tr<='0';sr<="10000001";end if;end if;end if;end if;end if;end if;end process;end behave;printer.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity printer isport(clk: in std_logic;tr: in std_logic;reset:in std_logic;rdy: buffer std_logic);end entity printer;architecture behave of printer issignal temp:integer:=0;beginprocess(clk)beginif(clk'event and clk='1')thenif(reset='0') then rdy<='1';end if;if(reset='1')thenif( tr='1')then rdy<='0';elsif(rdy='0'and tr='0') then temp<=temp+1;if(rdy='0'and temp=2)then temp<=0;rdy<='1';end if;end if;end if;end if;end process;end behave;。

相关文档
最新文档