五邑大学数电第8次课前作业答案

五邑大学数电第8次课前作业答案
五邑大学数电第8次课前作业答案

第3章组合逻辑电路习题

3-5-1-1图是由3线-8线译码器74LS138和与非门构成的电路,试写出P

1和P

2

的表达式,

列出真值表,说明其逻辑功能。

答案(1)写出输出的表达式,即

P

1

=∑m(0,7)= +ABC

P

2

=∑m(1,2,3,4,5,6)= +BC+ (2)真值表如表所列。

(3)该电路为一致性判别电路,当A、B、C相同时,P

1=1;不同时P

2

=1。

3-5-1-2用译码器74138和与非门设计全加器:

过程略

3-5-2-1试用4选1数据选择器74LS153产生逻辑函数答案 4选1数据选择器表达式为:

而所需的函数为

与4选1数据选择器逻辑表达式比较,则令

接线图如图所示。

3-5-2-2试用74153和门电路设计一个四位二进制码奇偶校验器。要求当输入的四位二进制码中有奇数个1时,输出为1,否则为0。

答案设四位二进制码ABCD为输入逻辑变量,校验结果L为输出逻辑变量。所对应的奇偶校验器的逻辑关系见表解

由74153可构成8选1数据选择器,可以将输入变量A、B、C送入的A

2、A

1

、A

端,当

ABC从000~111取8组值时,L与D的关系参见表解,又知当ABC从000~111取8组值

时,数据选择器将依次选通D

0~ D

7

,据此可将输入变量D送入D

、D

3

、D

5

、D

6

,送入

D 1、D

2

、D

4

、D

7

。电路如图解所示,它可以完成四位二进制码的奇偶校验功能。

答案不唯一。

五邑大学数电第10次课前作业答案

第5章 时序逻辑电路习题 5-2-1 分析图所示时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图。 CLK Z 解:从给定的电路图写出驱动方程为: ?? ???===n n n n n Q D Q D Q Q Q D 120 12 100)(⊙ 将驱动方程代入D 触发器的特征方程D Q n =+1,得到状态方程为: ?? ???===+++n n n n n n n n Q Q Q Q Q Q Q Q 1120 112 1010)(⊙ 由电路图可知,输出方程为 2 n Z Q = 根据状态方程和输出方程,画出的状态转换图如图题解5-1(a )所示,时序图略。 \

综上分析可知,该电路是一个八进制计数器。 5-2-2已知时序电路如图所示,假设触发器的初始状态均为“0”。 (1)写出电路的状态方程和输出方程。 (2)分别列出X=0和X=1 2种情况下的状态转换表,说明其逻辑功能。 (3)画出X=1时,在CP 脉冲作用下的Q 1、Q 2和输出Z 的波形。 答案(1)驱动方程: ?? ???===+==n n n n n n Q K Q J K X Q Q X Q Q J 12121121211 (2)电路的状态方程和输出方程为 ?????+=+=++n n n n n n n n n Q Q Q Q Q Q Q Q X Q 1 2211 212 111 CP Q Q Z n n ?=21 (2)X=0和X=1两种情况下的状态转换表如表所列,其逻辑功能:当X=0时,为2位二进制减法计数器;当X=1时,为三进制减法计数器。 作出X=0的状态表: 1 1 1 0 0 1 0 0 Q 2 n+1 Q 1 n+1 次 态 0 0 1 1 1 0 0 1 Q 2 n Q 1 n 现 态 输 出 0 0 0 CP Z

多功能数字钟数电课设

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 多功能数字钟的设计与实现 初始条件: 本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示时间计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个数字钟。要求用六位数码管显示时间,格式为00:00:00。 2)具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或12进制)计数。 3)有译码、七段数码显示功能,能显示时、分、秒计时的结果。 4)设计提供连续触发脉冲的脉冲信号发生器, 5)具有校时单元、闹钟单元和整点报时单元。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) Abstract (4) 绪论 (5) 1仿真软件Proteus介绍 (6) 1.1Proteus概述 (6) 1.2Proteus功能特点 (6) 2方案论证 (8) 2.1方案一:采用中小规模集成电路模块实现 (8) 2.2方案二:采用单片机构建数字钟系统 (8) 2.3方案选择 (8) 3总体电路设计 (9) 3.1电路原理分析与设计 (9) 3.2系统原理图 (9) 4各模块电路分析 (10) 4.1时钟脉冲发生器 (10) 4.1.1方案一:RC振荡器 (10) 4.1.2方案二:555定时器 (11) 4.1.3方案三:石英晶体振荡器 (13) 4.1.4结论 (13) 4.2译码显示电路 (14) 4.3计数器电路 (16) 4.3.1 时计数电路 (17) 4.3.2 分计数电路 (18) 4.3.3秒计数电路 (19) 4.4 校时电路部分 (19) 4.4.1 方案一:快速脉冲法 (19) 4.4.1 方案二:按键单脉冲法 (20) 4.5 整点报时电路 (21) 4.6 闹钟电路 (22) 5总体电路设计与仿真 (23) 5.1总体电路图 (24) 5.2仿真结果分析 (24) 6 总结 (24) 参考文献 0

五邑大学专插本参考数字电路与逻辑设计

命题人:徐秀平 审批人: 试卷分类(A 卷或B 卷) A 五邑大学 试 卷 学期: 2008 至 2009 学年度 第 一 学期 课程: 数字电路与逻辑设计 专业: 电子、计算机、交通 班级: : 学号: 一、 (包含8个小题,共38分) 1.1 用公式法化简下面逻辑函数(4分) CD D A BD B A Y +++= 1.2 利用卡诺图化简下面逻辑函数,要求画出卡诺图,求得最简与或式。(6分) ∑∑+= ),),,,,,,,,,138(1514109763210 (d m Y .3电路如图所示,①试写出输出端逻辑式;2,已知输入信号的波形,请对应画出输出端的波形。(4分) A B Y

1.4电路如图所示,其中所有的门均为TTL 门。若已知各门的参数为I OH =0.25mA ,I OL =14mA ,I IH =0.05mA ,I IL =1.6mA ,试求能带多少个同类门?(4分) 1.5电路如图所示,请写出输出Q 的特性方程,并画出对应时钟脉冲CLK 的输出Q 的波形(4分) 1. 6电路如图所示,①说明它的容量是多少?②写出各片的地址范围(十六进制表示)。(6分) Q Q SET CLR D A B CLK Q D R 'TTL } N Y 0 0000 t t t t t CLK D R 'A B Ω51B A Y ΩK 10

1.7 CB555定时器接成的电路如图所示,若V CC =12V ,①试问CB555接成的是什么电路?②若输入电压v I 波形如图所示,试画出输出电压v 0的波形。(6分) 1.8 如图所示电路为4位倒T 型电阻网络D/A 转换器。已知R=10K Ω,V REF =12V 。当某位数字量d i =1时,开关接S i 接运算放大器的反相输入端,;当d i =0时,开关接S i 接地。试求:①输出模拟电压v o 的范围;②求d 3d 2d 1d 0=1011时,对应的输出的模拟电压v o 值。(4分) I v o v 0 t t 10V 5V

武汉理工大学模电课设温度控制系统设计

课程设计任务书 学生姓名:张亚男专业班级:通信1104班 指导教师:李政颖 工作单位:信息工程学院 题目: 温度控制系统的设计 初始条件:TEC半导体制冷器、UA741 运算放大器、LM339N电压比较器、稳压管、LM35温度传感器、继电器 要求完成的主要任务: 一、设计任务:利用温度传感器件、集成运算放大器和Tec(Thermoelectric Cooler, 即半导体致冷器)等设计一个温度控制器。 二、设计要求:(1)控制密闭容器内空气温度 (2)控制容器容积>5cm*5cm*5cm (3)测温和控温范围0℃~室温 (4)控温精度±1℃ 三、发挥部分:测温和控温范围:0℃~(室温+10℃) 时间安排:19周准备课设所需资料,弄清各元件的原理并设计电路。 20周在仿真软件multisim上画出电路图并进行仿真。 21周周五前进行电路的焊接与调试,周五答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

温度控制系统的设计 1.温度控制系统原理电路的设计 (3) 1.1 温度控制系统工作原理总述 (3) 1.2 方案设计 (3) 2.单元电路设计 (4) 2.1 温度信号的采集与转化单元——温度传感器 (4) 2.2 电压信号的处理单元——运算放大器 (5) 2.3 电压值表征温度单元——万用表 (7) 2.4 电压控制单元——迟滞比较器 (8) 2.5 驱动单元——继电器 (10) 2.6 TEC装置 (11) 2.7 整体电路图 (12) 3.电路仿真 (12) 3.1 multisim仿真 (12) 3.2 仿真分析 (14) 4.实物焊接 (15) 5.总结及体会 (16) 6.元件清单 (18) 7.参考文献 (19)

五邑大学模电2010-2011(2)模拟电子技术基础B

命题人: 钟东洲 审核人: 试卷分类(A 卷或B 卷) B 五邑大学 试 卷 学期: 2010 至 2011 学年度 第 二 学期 课程: 模拟电子技术基础 课程代号: 005A1670 使用班级: 信息工程学院09级 姓名: 学号: 选 择 题 (共8 分) 1 稳压管是一种特殊二极管,稳压时工作在( )状态。 A .正偏 B. 反偏 C .导通 D. 截止 2. 电路如图1所示,若Ω=k 030b R ,R c =3k Ω,晶体管的β=50,则晶体管 处于( )工作状态 A. 饱和 B. 截止 C. 线性放大 D. 击穿 3. 用直流电压表测得放大电路中NPN 管各电极对地电位分别是2V 、6V 和2.7V ,则三个电极分别为( ) A. (b ,c ,e ) B. (c ,b ,e ) C.(e ,c ,b ) D. (e ,c ,b ) 4.用恒流源取代典型差分放大电路中的发射极电阻Re ,将使电路的 ( )。 A.差模放大倍数数值增大 B.抑制共模信号能力增强 C.差模输入电阻增大 D 差模输出电阻小 5. 乙类互补对称推挽功率放大电路产生交越失真的原因是( )。 A. 零点漂移 B. 晶体管的死区电压 C.饱和失真 D. 截止失真 6. 为了使放大器具有稳定的输出电压,一般引入( )负反馈电路。 A. 电压 B. 电流 C. 串联 D. 并联 7.自激振荡电路起振的幅值条件是。 A. 0=F A B. 1=F A C. 1>F A D. ∞=F A 8. 整流电路如图2所示, 设变压器副边电压有效值为U 2,则输出电压平均值为U o 为( )。 A. 0.9U 2 B. 2 C. 0.5U 2 D. 1.2U 2 二、填 空 题 (每空1分,共 18分) R

数电课设 简易洗衣机控制电路

课程设计任务书 学生姓名: 专业班级: 信息sy1101 指导教师: 工作单位: 信息工程学院 题 目: 简易洗衣机控制电路的设计与实现 初始条件:zzzzzzz 本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示定时时间。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个电子定时器,控制洗衣机按如下洗涤模式进行工作: 2)当定时时间达到终点时,一方面使电机停机,同时用指示灯提醒用户。 3)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 4)用三只LED 灯表示“正转”、“反转”和“暂停”3个状态。 5)确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,阐述基本原理。画出电路原理图。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、 2013 年 5 月 16 日,布置作课设具体实施计划与课程设计报告格式的要求说明。 2、 2013 年 6 月 25 日至 2013 年 6 月 28 日,方案选择和电路设计。 3、 2013 年 6 月 29 日至 2013 年 7 月 3 日,电路调试和设计说明书撰写。 4、 2013 年 7 月 5 日,上交课程设计成果及报告,同时进行答辩。 指导教师签名: 年 月 日 停机

目录 摘要 (1) Abstract (2) 1.概述 (3) 1.1设计任务和要求 (3) 1.2设计的总体思路 (3) 2.总体方案及原理框图 (4) 2.1方案提出 (4) 2.2方案分析 (5) 3.单元电路设计与参数的计算 (6) 3.1 秒脉冲发生器 (6) 3.2 分秒计时器 (7) 3.3 数码显示器 (9) 3.4电机控制电路 (10) 3.5 报警电路 (13) 4、总体电路 (17) 5. 心得体会 (19) 附录一参考文献 (20) 附录二元件清单 (21) 附录三实物图 (22)

五邑大学数电第4次课前作业答案

第2章逻辑门电路习题 2-3-1 如图所示,试说明怎样将与非门、或非门、异或门当做反相器使用?各输入端应如何连接? 如下图所示 2-3-3 已知图中的门电路为74系列TTL门电路,试指出各门电路的输出是什么状态(高电平、低电平、高阻态)? Y1为低电平;Y2为高电平;Y3为高电平;Y4为低电平;Y5为低电平;Y6为高阻态;Y7为高电平;Y8为低电平;

2-2-4在图题所示的TTL 门电路中,要求实现下列规定的逻辑功能时,其连接有无错误?如有错误请改正。 Y=AB 答案解: (a )有错误。普通门电路不允许输出端直接相连,应将图中的逻辑门改为O C 门。 (b )正确。图中第二个门是同或门,同或表达式: 。当同或门一端接 V CC 时,相当于输入1,这时输出信号与另一输入信号是相等的。由下图可见,它可以实现 。 (c )有错误。正确的连接请见图解。 (d )有错误。正确的连接请见图解。 2-3-5 图所示,已知OC 门G 1~G 3输出高电平时集电极开路三极管的漏电流最大值为I OH (max ) =0.25mA ;低电平最大输出电流I OL (max )=16mA 。门电路G 4~G 6的高电平输入电流最大值为I IH (max )=40μA ,低电平输入电流最大值I OL (max )=-1.6mA 。要求OC 门输出的高、低电平满足V OH ≥3.6V 、V OL ≤0.2V 。试计算当V CC =5V 时外接电阻R P 的取值范围。 A Y B A Y B

参考答案: Ω>>Ω4294.1P R K 2-3-6电路如图题所示,试用表格方式列出各门电路的名称,输出逻辑表达式以及当ABCD=1001时,各输出函数的值。 答案解答见表解。

数字电路课程设计之数字密码锁电路设计

图1 数字式电子锁原理框图 课程设计任务书 学生姓名: 张浩然 专业班级: 通信1105班 指导教师: 李政颖 工作单位: 信息工程学院 题 目: 数字式电子锁的设计与实现 初始条件: 本设计既可以使用集成电路和必要的元器件等,也可 以使用单片机系统构建数字密码电子锁。自行设计所需工 作电源。电路组成原理框图如图1,数字密码锁的实际锁 体一般由电磁线圈、锁栓、弹簧和锁柜构成。当线圈有电 流时,产生磁力,吸动锁栓,即可开锁。反之则不开锁。 要求完成的主要任务: 1、课程设计工作量:1周。 2、技术要求: 1)课程设计中,锁体用LED 代替(如“绿灯亮”表示开锁,“红灯亮”表示闭锁)。 2)其密码为4位二进制代码,密码可以通过密码设定电路自行设定。 3)开锁指令为串行输入码,当开锁密码与存储密码一致时,锁被打开。当开锁密码与存储密码不一致时,可重复进行,若连续三次未将锁打开,电路则报警并实现自锁。(报警动作为响1分钟,停10秒) 4)选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。安装调试设计电路。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年5月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、 年 月 日至 年 月 日,方案选择和电路设计。 3、 年 月 日至 年 月 日,电路调试和设计说明书撰写。 4、 2013年 7月 5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名: 年 月 日 系主任(或责任教师)签名: 年 月 日

2015-2016-1数字电路与逻辑设计--B卷答案

命题人: 张京玲 审核人: 试卷分类(A 卷或B 卷) B 五邑大学 试 卷 学期: 2013 至 2014 学年度 第 1 学期 课程: 数字电路与逻辑设计 课程代号: 0700280 使用班级: 信息工程学院2011级 姓名: 学号: 一、填空题2分,共12分) 1、将(10011101)2转换为10进制数为 157 。 2、存储器当存储容量不够用时,应该通过 字 和 位 来扩展容量。 3、CMOS 门电路的输入端通过一个k Ω10的电阻接地,这个输入端相当于输入 低 电平;如果是TTL 门电路的输入端通过一个k Ω10的电阻接地,这个输入端相当于输入 高 电平。 4、已知原函数为 C C B A F +=1 ,则它的反函数的最简与或式为 C B A 5、施密特触发器有两个 稳定 状态;单稳态触发器有一个 稳定 状态和一个 暂稳 状态;多谐振荡器只有两个 暂稳 状态。 6、一个8位逐次渐进型A/D 转换器,转换单位为1m V(00000001所表示的模拟电压值),当输入模拟电压U i=152m V 时,输出为10011000。 二、选择题 (每题1分,共7分) 1、某RAM 有8位数据线、10位地址线,则其存储容量为( D )。 A 、1Kb B 、 2Kb C 、4Kb D 、 8Kb 2、下列公式中哪个是错误的?( C ) 。 A. A A =+0 B. A A A =+ C. B A B A +=+ D. ))((C A B A BC A ++=+ 3、已知逻辑函数Y=BC B +,下列 ( D ) 逻辑函数成立。 A. Y=B+C B. Y=B+C C. Y=B+C D. Y=B+C 4、以下各电路中,( B )可以完成延时功能。 A 、多谐振荡器 B 、单稳态触发器 C 、施密特触发器 D 、石英晶体多谐振荡器 5、3线-8线译码器处于译码状态时,当输入A 2A 1A 0=011时,输出07...Y Y = ( D ) 。

数字电子技术基础教材第四章答案

习题4 4-1 分析图P4-1所示的各组合电路,写出输出函数表达式,列出真值表,说明电路的逻辑功能。 解:图(a ):1F AB =;2 F A B =e ;3F AB = 真值表如下表所示: A B 1F 2F 3F 0 0 0 1 0 0 1 0 0 1 1 0 1 0 0 1 1 1 其功能为一位比较器。A>B 时,11F =;A=B 时,21F =;A

功能:一位半加器,1F 为本位和,2F 为进位。 图(c ):1(0,3,5,6)(1,2,4,7)F M m = =∑∏ 2(0,1,2,4)(3,5,6,7)F M m ==∑∏ 真值表如下表所示: 功能:一位全加器,1F 为本位和,2F 为本位向高位的进位。 图(d ):1F AB =;2 F A B =e ;3F AB = 功能:为一位比较器,AB 时,3F =1 4-2 分析图P4-2所示的组合电路,写出输出函数表达式,列出真值表,指出该电路完成的逻辑功能。

解:该电路的输出逻辑函数表达式为: 100101102103F A A x A A x A A x A A x =+++ 因此该电路是一个四选一数据选择器,其真值表如下表所示: 1A 0A F 0 0 0x 0 1 1x 1 0 2x 1 1 3x 4-3 图P4-3是一个受M 控制的代码转换电路,当M =1时,完成4为二进制码至格雷码的转换;当M =0时,完成4为格雷码至二进制的转换。试分别写出0Y ,1Y ,2Y ,3Y 的逻辑函数的表达式,并列出真值表,说明该电路的工作原理。

武汉理工大学课程设计数字钟

目录 摘要 (1) 1数字钟总构成 (2) 2数字钟单元电路设计 (3) 2.1 1HZ方波信号设计 (3) 2.2时间计数单元电路设计 (4) 2.2.1计数器74LS90和74LS161 (4) 2.2.2时计时电路 (7) 2.2.3分(秒)计时电路 (9) 2.2.4计时电路的比较 (11) 2.3译码显示单元电路设计 (11) 2.3.1译码器74LS48 (12) 2.3.2显示器LG5011AH (13) 2.3.3译码显示电路 (14) 2.4 校时单元电路设计 (14) 3数字钟的实现及工作原理 (15) 4电路的安装与调试 (16) 5心得体会 (17) 参考文献 (19)

摘要 数字钟是一种用数字电路技术实现时、分、秒计时的钟表。与机械钟相比具有更高的准确性和直观性,具有更长的使用寿命,电子钟表具有价格便宜,质量轻,定时误差小等优点,被广泛的应用在生产,已得到广泛的使用。数字钟的设计方法有许多种,本次试验运用555多谐振荡器、计时器、显示译码器、校正时间电路设计出可以显示时分秒(时为12进制,分为60进制)并且可以校时的多功能数字钟。 关键词:数字钟计时器555多谐振荡器显示译码器

多功能数字钟的设计与制作 1数字钟总构成 数字钟由1HZ的方波信号发生器、计时器、显示译码器、校时电路组成。其框图如下图1.1所示。 图1.1数字钟框图 1HZ信号发生器由555定时器构成的多谐振荡器产生。 时分秒计时器由计数器组成。其中,时为十二进制,时个位为二进制,时十位为十进制,在计数为十二时同时清零;分为六十进制,分(秒)十位为六进制,分(秒)个位为十进制。 译码显示部分由BCD七段显示译码器驱动显示器件,以显示数字。 校时电路用以重新接通电源或走时出现误差时都需要对时间进行校正。有时校正和分校正功能,在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。

五邑大学—EDA密码锁课程设计报告

五邑大学 基于FPGA的数字系统设计报告 题目:密码锁 院系信息学院 专业电子信息工 学号13071814 学生姓名江建钊 指导教师赵顺喜

一、设计的要求和意义 1、实验目的: 1)训练从概念到产品的设计全过程; 2)综合运用各种设计方法。 2、实验原理和要求: 图9-1 密码锁输入输出信号示意图 图 9-1 k0~k9为数字键盘,用拨码开关实现(以为实验板上只有4个轻触开关),当按下ki=1时,代表一个对应i的BCD码。 setup为密码设置开关,用拨码开关实现,start_set为开始密码设置键,用轻触开关实现,当setup为高电平且start_set按下时为密码设置状态。 Start为开始密码输入键,用轻触开关实现,当setup为低电平且start按下时为密码输入状态。 Open为密码输入完成按键,当open按下,如果密码输入错误,输出信号lock=0,led1=0,led2=1(警示灯),spk=1(报警);如果密码输入正确,输出信号lock=1,led1=1,led2=0(警示灯),spk=0(报警)。 I_setup为复位按键,用轻触开关实现,当I_setup按下,强制将输出信号清零。3、设计任务与要求: 1)设计符合上述功能的密码锁控制电路; 2)可以用各种设计方法; 3)进行仿真; 4)把设计下载到实验箱并验证。 二、系统设计 1、软件设计流程及描述:

图9-1 程序设计流程图

图9-1设计流程可以分为3部分:密码设置、密码输入、密码输入结果判断和复位。 它们都是并发执行的。 2、程序源代码 module jjz1(lock,led1,spk,led2,setup,start,start_set,open,k,I_setup); input[9:0] k; input start,setup,start_set,open,I_setup; output reg lock,led1,spk,led2; reg in,in_set; reg[3:0] code,c,c1,c2,c3,out1,out2,out3; reg[2:0] state,state_set; //*****************密码设置**************// always @(setup) begin begin case(k) 10'b00_0000_0001:begin c<=4'h0;in_set<=1;end //密码按键扫描按键; 10'b00_0000_0010:begin c<=4'h1;in_set<=1;end 10'b00_0000_0100:begin c<=4'h2;in_set<=1;end 10'b00_0000_1000:begin c<=4'h3;in_set<=1;end 10'b00_0001_0000:begin c<=4'h4;in_set<=1;end 10'b00_0010_0000:begin c<=4'h5;in_set<=1;end 10'b00_0100_0000:begin c<=4'h6;in_set<=1;end 10'b00_1000_0000:begin c<=4'h7;in_set<=1;end 10'b01_0000_0000:begin c<=4'h8;in_set<=1;end 10'b10_0000_0000:begin c<=4'h9;in_set<=1;end default: begin c<=4'ha;in_set<=0;end endcase end end always @( posedge start_set or posedge in_set) begin if(start_set ) // begin state_set<=0;

数字电路与数字电子技术 课后答案第四章

第四章 逻辑函数及其符号简化 1. 列出下述问题的真值表,并写出逻辑表达式: (1) 有A 、B 、C 三个输入信号,如果三个输入信号中出现奇数个1时,输出信号F=1,其余情况下,输出F= 0. (2) 有A 、B 、C 三个输入信号,当三个输入信号不一致时,输出信号F=1,其余情况下,输出为0. (3) 列出输入三变量表决器的真值表. 解: ( 1 ) F=A B C+A B C +A B C +ABC F= (A+B+C) ( A +B +C ) F=A BC+A B C+AB C +ABC ,F 的值为“1”: (3) F= (A+B+C) (A+B+C ) (A+B +C) (A+B +C ) 解: (1) AB = 00或AB=11时F=1 (2) ABC110或111,或001,或011时F=1 (3) ABC = 100或101或110或111时F=1 3. 用真值表证明下列等式. (1) A+BC = (A+B) (A+C) (2) A BC+A B C+AB C = BC ABC +AC ABC +AB ABC (3) C A +C B +B A =ABC+A B C (4) AB+BC+AC=(A+B)(B+C)(A+C)

(5) ABC+A+B+C=1 证: ( 1 ) ( 2 ) ( 3 ) ( 4 ) ( 5 )

4. 直接写出下列函数的对偶式F ′及反演式F 的函数表达式. (1) F= [A B (C+D)][B C D +B (C +D)] (2) F= A BC + (A +B C ) (A+C) (3) F= AB+CD +E +D +E C +D +BC (4) F=D +B A ?B A +C 解: (1) F`= [A +B+CD]+[(B+C +D )(?B+C D]] F = [A+B +C D ]+[(B +C+D)(?B +C D ]] (2) F`= (A+C +B )]AC )C +B (?A [? F = (A +C +B )]C A +)C +B (?A [? (3) F`=)B +A (?C +D ?)B +A ( F =)B +A (?C +D ?)B +A ( 5. 若已知x+y = x+z ,问y = z 吗?为什么? 解: y 不一定等于z,因为若x=1时,若y=0,z=1,或y=1,z=0,则x+y = x+z = 1,逻辑或的特点,有一个为1则为1。 6. 若已知xy = xz ,问y = z 吗?为什么? 解: y 不一定等于z ,因为若x = 0时,不论取何值则xy = xz = 0,逻辑与的特点,有一个为0则输出为0。 7. 若已知 x+y = x+z Xy = xz 问y = z 吗? 为什么? 解: y 等于z 。因为若x = 0时,0+y = 0+z ,∴y = z ,所以xy = xz = 0,若x = 1时, x+y = x+z = 1,而xy = xz 式中y = z 要同时满足二个式子y 必须等于z 。 8.用公式法证明下列个等式 (1) A C +A B +BC+A C D =A +BC 证: 左=A BC + BC +A C D =A + BC +A C D =A (1+C D ) + BC

数字电路课程设计

课程设计任务书 学生姓名:吴培力_________ 专业班级:信息SY1201 指导教师:刘可文_________ 工作单位:信息工程学院 题目:数字式电子锁的设计与实现 初始条件: 本设计既可以使用集成电路和必要的元器件等,也可以使用单片机系统构建数字密码电子锁。自行设计所需工作电源。电路组成原理框图如图1,数字密码锁的实际锁体一般由电磁线 圈、锁栓、弹簧和锁柜构成。当线圈有电流时,产生磁力,吸动锁栓,即可开 锁。反之则不开锁。 图1数字式电子锁原理框图要求完成的主要任务:(包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)课程设计中,锁体用LED代替(如“绿灯亮”表示开锁,“红灯亮”表示闭锁)。 2)其密码为4位二进制代码,密码可以通过密码设定电路自行设定。 3)开锁指令为串行输入码,当开锁密码与存储密码一致时,锁被打开。当开锁密码与存储密码不 一致时,可重复进行,若连续三次未将锁打开,电路则报警并实现自锁。(报警动作为响1分钟, 停10秒) 4)选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。安装调试设计电路。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用 A4纸打印,图纸应符合绘图规范。 时间安排: 1、______ 年_月________ 日,布置作课设具体实施计划与课程设计报告格式的要求说明。 2、年月 日 至 年月日,方案选择和电路设计。 3、年月日 至年月日, 电路调试和设计说明书撰 写。 4、年月日, 上交课程设计成果及报 告, 同时进行答辩。

数字电路与逻辑设计a卷

命题人:王玉青审核人:试卷分类(A卷或B 卷) 五邑大学试卷 学期:2013至2014学年度第 1 学期 课程:数字电路与逻辑设计课程代号:0700280 使用班级:信息工程学院2011级姓名:学号: 一、填空题(15分,每空1分) 1.时序逻辑电路按照其触发器是否由统一的时钟控制分为时序逻辑电路和时序逻辑电路。 2.当数据选择器的数据输入端的个数为8时,其地址代码应有位。 3.两个1位二进制数字A和B相比较,可以用作为A>B的输出信号Y(A>B)。 4.寻址容量为256k × 4的RAM需要根地址线。 5. 欲设计一个47进制的计数器至少需要片74LS160。 6. JK触发器的特性方程为。 7. 当TTL与非门的输入端悬空时相当于输入接入电平。 8. 模数转换器(ADC)两个最重要的指标是转换精度和。 9. A/D转换通常经过、、、四个步骤。 10. TTL门电路中,输出端能并联使用的有和。 二、选择题(11分,每空1分) 1.下列各式中哪个是三变量A、B、C的最小项?。

A. B A B. C B A ++ C. C B A D. C B + 2. 下列公式中哪个是错误的? 。 A. A A =+0 B. A A A =+ C. B A B A +=+ D. ))((C A B A BC A ++=+ 3. 采用集电极开路的OC 门主要解决了 。 A. TTL 门不能相“与”的问题 B. TTL 门的输出端不能“线与”的问题 C. TTL 门的输出端不能相“或”的问题 4. 触发器有两个稳态,,存储4位二进制信息需要 个触发器。 A. 2 B. 4 C. 8 D. 16 5. 欲使D 触发器按n n Q Q =+1工作,应使输入端D = 。 A. 0 B. 1 C. Q D. n Q 6. 要构成容量为4k ×8的RAM ,需要 片容量为256×4的RAM 。 A. 2 B. 4 C. 8 D. 32 7. 3线-8线译码器处于译码状态时,当输入A 2A 1A 0=010时,输出 07...Y Y = 。 8. 多谐振荡器可产生 。 A. 正弦波 B. 矩形脉冲 C. 三角波 D. 锯齿波 9. 555定时器构成施密特触发器时,其回差电压为 。 A. V CC B. V CC /2 C. V CC /3 D. 2V CC /3 10. D/A 转换器能够将 转变成 。 A. 正弦信号 B. 数字信号 C. 模拟信号 D. 方波信号 三、判断题(8分,每题1分) ( )1. 编码器是时序逻辑电路。 ( )2. 施密特触发器可用于将三角波变换成正弦波。 ( )3. 单稳态触发器的暂稳态时间与输入触发脉冲宽度成正比。 ( )4. 四变量的最小项共有8种组合。 ( )5. 具有推拉输出的TTL 门的输出端不可以并列使用。

五邑大学专插本参考数字电路与逻辑设计

命题人:徐秀平 审批人: 试卷分类(A 卷或B 卷) A 五邑大学 试 卷 学期: 2008 至 2009 学年度 第 一 学期 课程: 数字电路与逻辑设计 专业: 电子、计算机、交通 班级: 姓名: 学号: 一、 (包含8个小题,共38分) 4分) CD D A BD B A Y +++= 利用卡诺图化简下面逻辑函数,要求画出卡诺图,求得最简与或式。(6分) ∑∑+= ),),,,,,,,,,138(1514109763210 (d m Y .3电路如图所示,①试写出输出端逻辑式;2,已知输入信号的波形,请对应画出输出端的波形。(4分) A B Y B A Y

电路如图所示,其中所有的门均为TTL 门。若已知各门的参数为I OH =,I OL =14mA ,I IH =,I IL =,试求能带多少个同类门?(4分) 电路如图所示,请写出输出Q 的特性方程,并画出对应时钟脉冲CLK 的输出Q 的波形(4分) 1. 6电路如图所示,①说明它的容量是多少?②写出各片的地址范围(十六进制表示)。(6分) A D R 'TTL N t R 'A

CB555定时器接成的电路如图所示,若V CC =12V ,①试问CB555接成的是什么电路?②若输入电压v I 波形如图所示,试画出输出电压v 0的波形。(6分) 如图所示电路为4位倒T 型电阻网络D/A 转换器。 已知R=10K Ω,V REF =12V 。当某位数字量d i =1时, 开关接S i 接运算放大器的反相输入端,;当d i =0时,开关接S i 接地。试求:①输出模拟电压v o 的 范围;②求d 3d 2d 1d 0=1011时,对应的输出的模拟 电压v o 值。(4分) 二、 分析题(包含三道题,共32分) 由3线-8线译码器74HC138构成的逻辑电路如图所示,分析电路的逻辑功能,要求写出输出端逻辑式和真值表,说明电路有什么作用。(10分) S 11 X 10 X 11 74HC 的功能表32 S S '+'i Y 'i m ' 组合的最小项 为012A A A i m v

五邑大学的网络通识课侵权法第一章

五邑大学的网络通识课侵权法第一章《民法通则》之前新中国“民法典”起草过程中与侵权法相关的起草活动包括: (多选题) A:20世纪五十年代第一次“民法典”起草 B:20世纪六十年代第二次“民法典”起草 C:20世纪八十年代第三次“民法典”起草 D:“中华民国民法典”起草 《民法通则》上的侵权法规范主要规定在 (单选题) A:第二章公民 B:第三章法人 C:第五章民事权利 D:第六章民事责任 《侵权责任法》于()通过。(单选题) A:2002年12月23日 B:2008年12月21日 C:2009年11月6日 D:2009年12月26日 我国的侵权责任法包括(多选题)

A:《侵权责任法》 B:行政法规中的侵权责任法律规范 C:司法解释中的侵权责任法律规范 D:其它法律中的侵权责任法律规范 《侵权责任法》上承担责任的核心方式是(单选题) A:停止侵害 B:损害赔偿 C:排除妨碍 D:赔礼道歉 “侵权责任法”的思维逻辑是(单选题) A:损害事实—侵权责任 B:侵权行为—赔偿义务 C:侵权行为—侵权责任 D:损害事实—赔偿义务 《侵权责任法》的技术目的是(单选题) A:为保护民事主体的合法权益 B:明确侵权责任 C:预防并制裁侵权 D:促进社会和谐稳定

《侵权责任法》的根本目的是(单选题) A:为保护民事主体的合法权益 B:为保护民事主体的合法权利 C:为保护民事主体的合法利益 D:预防并制裁侵权行为 《侵权责任法》中所称民事权益包括以下哪几项?(多选题) A:生命权 B:肖像权 C:专利权 D:发明权 行为人因过错侵害他人民事权益,应当承担侵权责任。这是()的体现。(单选题) A:无过错责任原则 B:过错推定责任原则 C:过错责任原则 D:公平责任原则 侵权责任产生时,若其他法律对该侵权责任另有特别规定时,应当()(单选题) A:以侵权责任法为中心,其他法律为补充 B:依照侵权责任法的规定 C:依照其规定

五邑大学《数字电路与逻辑设计》专插本考试大纲

五邑大学《数字电路与逻辑设计》考试大纲 Ⅰ考试性质 普通高等学校本科插班生招生考试是由专科毕业生参加的选拔性考试。高等学校根据考生的成绩,按已确定的招生计划,德、智、体全面衡量,择优录取。因此,本科插班生考试应有较高的信度、效度、必要的区分度和适当的难度。 Ⅱ考试内容 总要求: 《数字电路与逻辑设计》是信息工程学院开设的一门专业基础课,是院级平台课。《数字电路与课程设计》课程考试旨在考察学生对本课程的基本内容、基本要求及基本应用掌握的深度和广度。要求熟练基本逻辑运算和门电路、逻辑函数的表达方式及化简、组合逻辑电路的分析和设计、时序逻辑电路的分析和设计、了解存储器及其扩展、数模和模数转换原理等,为后续专业课程的学习和设计打基础。 一、考试基本要求: 1. 熟练掌握数字电路的组成、分析和设计; 2.了解一般简单数字系统的工作原理、分析和设计。 二、考核知识范围及考核要求: 1.逻辑代数 (1)了解逻辑代数的定义及运算公式和规则; (2)掌握逻辑函数的表示方式及其相互转换; (3)熟练掌握逻辑函数的化简方法,包含公式法化简和卡诺图化简; 2.集成门电路 (1)了解集成门电路内部电路的组成、传输特性、参数; (2)掌握集成门电路扇出系数的计算、输入端带负载特性,特别是TTL门; (3)了解TTL门和CMOS门的接口电路及参数计算。 3.组合逻辑电路 (1)了解组合逻辑电路的概念; (2)了解集成逻辑门的功能表、逻辑符号; (3)熟练掌握利用门电路及集成门电路芯片构成的组合逻辑电路的分析和设计,特别是译码器(重点是3线-8线译码器74HC138)和数据选择器(4选1和8选1),实现逻辑函数。 4.触发器 (1)了解基本RS触发器和时钟触发器的构成、符号、逻辑功能、及特性方程,特别掌握边沿触发器; (2)熟练掌握给定时钟脉冲和输入波形情况下,画出触发器电路输出端的波形。 5.时序逻辑电路 (1)了解时序逻辑电路的概念; (2)熟练掌握同步时序逻辑电路的分析; (3)熟练掌握同步逻辑电路的设计; (4)熟悉集成计数器芯片(特别是74HC161和74HC160)的功能表、逻辑符号; (5)熟练掌握由集成计数器构成任意计数器的方法。

山东大学选课分类细则

HTFox:添加时光轴记录:山大选修课解读(转自人人): 鉴于好多13级的对选课还是不了解,就来说一说吧。通识课大学一共要修5门,如果多修应该还要多交钱。每门一般都是2学分,一共有几个模块,在国学修养、创新创业两个课程模块各修读2学分;在其他五个课程模块中跨类选修6学分,跨类就是说不能选重复 的,一个模块最多上一门课。其中,获人文学科或社会科学类学位的学生,应在自然科学或工程技术领域至少修满4个学分;获自然科学类和工程技术类学位的学生,应在人文学科或艺术审美或社会科学类至少修满4个学分。总共必须修满10个的通识教育核心课程学分。 通识课是要算在绩点内的,所以选的时候最好打听一下老师给分怎么样,如果给分很低,就最好不要选了,想上的话可以去蹭课。原则就是选水课,蹭好课。一般大一下课程比较少, 所以大家都会趁这个时候选很多通识,这样以后就轻松一些,推荐选2-3门。任选课大学一共要修4门,不算在成绩内,最后只要不挂科就行,任选课就可以随便选自己想上的课了。最好和认识的人一块儿选,这样万一点名啊、留作业啊有个照应。任选据说每学期只能选一节,多选无效,这个我也不大清楚。1、预选:预选时间为5天(2013年12月27日14:00起至2013年12月31日17:00止)。预选时,每位同学均应根据本专业教学计划选定课程,全校通选课、通识核心课程在预选阶段每人只能各选择1门,请勿多选。全校通选课的课序号均在“900”以上,通识核心课的课序号范围在“600-699”之间,请同 学们务必注意,不要错选。预选的时候虽然说只能选一门,不过其实选几门无所谓的,通识和任选尽量都多选几门,以防抽签抽不上,选上了试听之后不想上了可以再退课。选的时候只要课程不冲突就没有任何限制了。英语还没选,但是英语的时间和上学期一样,所以把英 语的时间空出来就行。预选的时候大家就要把想上的课都选好了,预选结束就要抽签了。2、正选:正选时间为5天(2014年1月3日17:00起至2014年1月8日17:00止)。 正选时,学校将对报名人数大于课容量的全校通选课程及通识核心课程进行随机抽签,即学生须用鼠标点击抽签按纽,以完成抽签操作。抽签完全是随机的,与抽签时间先后无关,不参加抽签者视为自动放弃。抽不中签的同学可在本阶段选择其它课程;未抽到签且想上此课 的同学请等到下学期再选。(各学院限选课程超出容量如需抽签,请在1月3日前与教务科 负责老师联系。)正选就是先抽签,什么时候抽对结果没有影响,但是最好早点抽签,抽完签后如果还想再选其他的还能再选,但是这个时候如果课容量满了就不能再选了,选上之后就肯定是选上了,不会再抽签了。所以说要早点抽签,这样课容量没满就可以继续再选。 3、试听补退选:2013-2014学年第2学期开学第一周为试听周,第二周学生可根据试听情

《数字电子技术基础》第四章习题答案

第四章 集 成 触 发 器 4.1 R d S d Q Q 不定 4.2 (1 CP=1时如下表)(2) 特性方程Q n+1 =D (3)该电路为锁存器(时钟型D 触发器)。CP=0时,不接收D 的数据;CP=1时,把数据锁存。(但该电路有空翻) 4.3 (1)、C=0时该电路属于组合电路;C=1时是时序电路。 (2)、C=0时Q=A B +; C=1时Q n+1=B Q B Q n n += (3)、输出Q 的波形如下图。 A B C Q 4.4 CP D Q 1Q 2 图4.5 4.5 D Q Q CP T 4.6 Q 1 n 1+=1 Q 2n 1+=Q 2n Q n 13+=Q n 3 Q Q 4 n 1 4n +=

Q1CP Q2Q3 Q4 4.7 1、CP 作用下的输出Q 1 Q 2和Z 的波形如下图; 2、Z 对CP 三分频。 D Q Q CP Q1 D Q Q Q2 Z Rd CP Q1Q2 Z 1 4.8由Q D J Q K Q J Q K Q n 1n n n n +==+=?得D 触发器转换为J-K 触发器的逻辑图如下面的左图;而将J-K 触发器转换为D 触发器的逻辑图如下面的右图 CP D Q Q J K Q Q D Q Q J K CP 4.9 CP B C A 4.10 CP X Q1Q2Z 4.11 1、555定时器构成多谐振荡器 2、u c, u o 1, u o 2的波形

u c u o 1u o 2 t t t 1.67V 3.33V 3、u o 1的频率f 1= 1074501 316..H z ??≈ u o 2的频率f 2=158H z 4、如果在555定时器的第5脚接入4V 的电压源,则u o 1的频率变为 1 113001071501 232....H z ??+??≈ 4.12 图(a)是由555定时器构成的单稳态触发电路。 1、工作原理(略); 2、暂稳态维持时间t w =1.1RC=10ms(C 改为1μF); 3、u c 和u o 的波形如下图: u o u c t t t u i (ms) (ms) (ms) 5 10 25 30 45 50 3.33V 4、若u i 的低电平维持时间为15m s ,要求暂稳态维持时间t w 不变,可加入微 分电路 4.13由555定时器构成的施密特触发器如图(a)所示 1、电路的电压传输特性曲线如左下图; 2、u o 的波形如右下图; 3、为使电路能识别出u i 中的第二个尖峰,应降低555定时器5脚的电压至3V 左右。 4、在555定时器的7脚能得到与3脚一样的信号,只需在7脚与电源之间接一电阻。 4.14延迟时间t d =1.1×1×10=11s

相关文档
最新文档