第六章组合逻辑电路详解

第六章组合逻辑电路详解
第六章组合逻辑电路详解

第六章组合逻辑电路

一、概述

1、组合逻辑电路的概念

数字电路根据逻辑功能特点的不同分为:

组合逻辑电路:指任何时刻的输出仅取决于该时刻输入信号的组合,而与电路原有的状态无关的电路。

时序逻辑电路:指任何时刻的输出不仅取决于该时刻输入信号的组合,而且与电路原有的状态有关的电路。

2、组合逻辑电路的特点

逻辑功能特点:没有存储和记忆作用。

组成特点:由门电路构成,不含记忆单元,只存在从输入到输出的通路,没有反馈回路。

3、组合逻辑电路的描述

4、组合逻辑电路的分类

按逻辑功能分为:编码器、译码器、加法器、数据选择器等;

按照电路中不同基本元器件分为:COMS、TTL等类型;

按照集成度不同分为:SSI、MSI、LSI、VLSI等。

二、组合逻辑电路的分析与设计方法

1、分析方法

根据给定逻辑电路,找出输出输入间的逻辑关系,从而确定电路的逻辑功能,其基本步骤为:

a、根据给定逻辑图写出输出逻辑式,并进行必要的化简;

b、列出函数的真值表;

c、分析逻辑功能。

2、设计方法

设计思路:分析给定逻辑要求,设计出能实现该功能的组合逻辑电路。

基本步骤:分析设计要求并列出真值表→求最简输出逻辑式→画逻辑图。

首先分析给定问题,弄清楚输入变量和输出变量是哪些,并规定它们的符号与逻辑取值(即规定它们何时取值0 ,何时取值1) 。然后分析输出变量和输入变量间的逻辑关系,列出真值表。根据真值表用代数法或卡诺图法求最简与或式,然后根据题中对门电路类型的要求,将最简与或式变换为与门类型对应的最简式。

三、若干常用的组合逻辑电路 (一)、编码器

把二进制码按一定规律编排,使每组代码具有特定的含义,称为编码。具有编码功能的逻辑电路称为编码器。

n 位二进制代码有n 2种组合,可以表示n 2个信息;要表示N 个信息所需的二进制代码应满足n 2≥ N 。 1、普通编码器 (1)、二进制编码器

将输入信号编成二进制代码的电路。下面以3位二进制编码器为例分析普通编码器的工作原理。

3位二进制编码器的输入为70~I I 共8个输入信号,输出是3位二进制代码012Y Y Y ,因此该电路又称8线-3线编码器。它有以下几个特征:

a 、将70~I I 8个输入信号编成二进制代码。

b 、编码器每次只能对一个信号进行编码,不允许两个或两个以上的信号同时有效。

c 、设输入信号高电平有效。

由此可得3位二进制编码器的真值表如右图所示,那么由真值表可知:

765476542I I I I I I I I Y =+++= 763276321I I I I I I I I Y =+++=

753175310I I I I I I I I Y =+++=

进而得到其逻辑电路图如下:

(2)、二-十进制编码器

将十进制数 0~9 编成二进制代码(BCD 码)的电路。其输入端为

90~I I 十个高、低电平信号,输出端是四位二进制码。其工作原理与3

位二进制编码器类似。

2、优先编码器

允许几个信号同时有效,但电路只对其中优先级别高的信号进行编码,而对其它优先级别低的信号不予理睬。 (1)、3位二进制优先编码器

设7I 的优先级别最高,6I 次之,依此类推,0I 最低.。其真值表、逻辑表达式和逻辑电路图如下所示:

?????????

?

?+++=+++=+++=+++=+++=+++=1

2463465671

23456734567567702

453456723456734567677145674

5675676772I I I I I I I I I I I

I I I I I I I I I I I I I I I Y I I I I I I I I I I I I I I I I I I I I I I Y I I I I I I I I I I I I I I

Y

(2)、二-十进制优先编码器CT74LS147

(二)译码器

译码是编码的逆过程,它将输入二进制代码译成相应输出信号的电路。

1、二进制译码器

(1)、3线-8线译码器CT74LS138 简介

CT74LS138译码器的真值表和逻辑表达式如下所示:

二进制译码器能译出输入变量的全部取值组合,故又称变量译码器,也称全译码器。其输出端能提供输入变量的全部最小项。

(2)、二级制译码器的级联

1=E 时,两个译码器都不工作,输出150~Y Y 都为

高电平 1。

0=E 时,允许译码。若03=A ,高位片不工作,低位片工作。此时将0123A A A A 的0000~0111八个代码译成70~Y Y 这八个低电平信号,158~Y Y 均输出1;若

13=A 时,低位片不工作,高位片工作。此时将

0123A A A A 的1000~1111八个代码译成158~Y Y 这八个低

电平信号,70~Y Y 均输出1。

(3)、利用二进制译码器实现组合逻辑函数

由于二进制译码器的输出端能提供输入变量的全部最小项,而任何组合逻辑函数都可以变换为最小项之和的标准式,因此用二进制译码器和门电路可实现任何组合逻辑函数。

当译码器输出低电平有效时,多选用与非门;译码器输出高电平有效时,多选用或门。

将BCD 码的十组代码译成0 ~ 9十个对应输出信号的电路,又称4线–10线译码器。

由功能表可以写出输出状态函数为:

由函数式,可以方便地用与非门设计4 线-10线译码器的逻辑电路:

1230012310123201233012340123501236012370123801239A A A A Y A A A A Y A A A A Y A A A A Y A A A A Y A A A A Y A A A A Y A A A A Y A A A A Y A A A A Y ==========;

;;;

将输入的BCD码译成相应输出信号,以驱动显示器显示出相应数字的电路。下面是数码显示译码器的结构和功能示意:

半导体数码显示器内部接法:共阳接法和共阴接法

共阳接法数码显示器需要配用输出低电平有效的译码器;共阴接法数码显示器需要配用输出高电平有效的译码器。

七段显示译码器:4 线– 7 段译码器/驱动器CC14547的逻辑功能示意图和真值表

(三)、数据选择器

数据选择器的逻辑功能是从多个输入数据中按要求选择其中一个传送到输出端,也称为多路选择器(Multiplexer ,简称MUX)或多路开关。数据选择器利用地址输入端01~A A n -的不同状态从01~D D m -共m 个数据中选择其中一个传送到输出端,且m 、n 的关系为n m 2=。 数据选择器有“2选1”、“4选1”、“8选1”、“16选1”等几种类型,他们的原理大致相同,下面仅介绍“4选1”和“8选1”两种类型的数据选择器。 1、“4选1”数据选择器

下面是“4选1”数据选择器的真值表、逻辑表达式和逻辑电路图:

2、“8选1”数据选择器CT74LS151

“8选1”数据选择器CT74LS151的真值表和输出函数表达式(在0=ST 的情况下)

130********A A D A A D A A D A A D Y +++

=

附加:数据分配器(Demultiplexer ,简称DMUX ):根据地址码的要求,将一路数据分配到指定输出通道上去的电路。

下面是1路-4路数据分配器的真值表、逻辑表达式和电路图:

(四)、加法器

在数字系统中,二进制数之间的算术都是化做若干步加法运算进行的。因此,加法器是构成算术运算器的基本单元。 1、一位加法器

(1)、半加器(Half Adder ,简称HA ):它只将两个1位二进制数相加,而不考虑低位来的进位。

(2)、全加器(Full Adder ,简称FA ):能将本位的两个二进制数和邻低位来的进位数进行相加。

130******** A DA Y A DA Y A A D Y A A D Y ===

=

多位加法器是实现两个n位二进制数的想加。根据进位方式的不同,有串行进位加法器和超前进位加法器之分。

(1)、串行进位加法器

其低位进位输出端依次连至相邻高位的进位输入端,最低位进位输入端接地。因此,高位数的相加必须等到低位运算完成后才能进行,这种进位方式称为串行进位,运算速度较慢。如图是14+7=21,即二进制数1110+0111=10101的运算过程。

(2)、超前进位加法器

其进位数直接由加数、被加数和最低位进位数形成,各位运算并行进行,运算速度快。常用4位超前进位加法器有74LS83、74LS283等。

(1)、8421 BCD 码转换为余3码:BCD 码+0011=余3码

(2)、二进制并行加法/减法器

010=-C 时,B ⊕0=B ,电路执行A+B 运算;当110=-C 时,B B =⊕1,电路执行A-B=A+B

运算。

(五)、数值比较器Digital Comparator 1、1位数值比较器

将两个1位二进制数A 和B 进行比较,有三种可能,即B A >、B A =、B A <,分别用输出)(B A Y >、)(B A Y =、)(B A Y <表示比较结果。假设与比较结果相符的输出为1,不符的输出为0,则可列出其真值表。

2、多位数值比较器

比较原理:从最高位开始逐步向低位进行比较。 如图所示是集成4位数值比较器74LS85的逻辑框图。其中0123A A A A 和0123B B B B 是待比较的两个4位二进制数。

B A <、B A =、B A >为扩展输入端,当两个4位以上的二进制数相比较时,供芯片之间连接使用。 74LS85的功能表:

74LS85的逻辑电路图:

第六章时序逻辑电路

第六章时序逻辑电路 一、选择题 1.同步计数器和异步计数器比较,同步计数器的显著优点是。 A.工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟CP控制。 2.把一个五进制计数器与一个四进制计数器串联可得到进制计数器。 A.4 B.5 C.9 D.20 3.下列逻辑电路中为时序逻辑电路的是。 A.变量译码器 B.加法器 C.数码寄存器 D.数据选择器 4.N个触发器可以构成最大计数长度(进制数)为的计数器。 A.N B.2N C.N2 D.2N 5.N个触发器可以构成能寄存位二进制数码的寄存器。 A.N-1 B.N C.N+1 D.2N 6.五个D触发器构成环形计数器,其计数长度为。 A.5 B.10 C.25 D.32 7.同步时序电路和异步时序电路比较,其差异在于后者。 A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 8.一位8421BCD码计数器至少需要个触发器。 A.3 B.4 C.5 D.10 9.欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计合理,采用同步二进制计数器,最少 应使用级触发器。 A.2 B.3 C.4 D.8 10.8位移位寄存器,串行输入时经个脉冲后,8位数码全部移入寄存器中。 A.1 B.2 C.4 D.8 11.用二进制异步计数器从0做加法,计到十进制数178,则最少需要个触发器。 A.2 B.6 C.7 D.8 E.10 12.某电视机水平-垂直扫描发生器需要一个分频器将31500H Z的脉冲转换为60H Z的脉冲,欲构成此分频器至少需要个触发器。 A.10 B.60 C.525 D.31500

第4章组合逻辑电路课后答案

第4 章 [题 4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 P3AP1P5P2P3P4 A P 4CP2 P3Y P5P6 B P1 AB Y P2BP1 C P6CP4 图P4.1 图P4.2 解:( 1)逻辑表达式 Y P5P6P2 P3 P4 CP4P2 P3P4CP4 P2 P3 C CP2 P3P2 P3 C C P2P3 PPC23P PC 2 3 P2 P3BP1 AP1 B AB AAB AB AB Y P2P3C P2 P3C AB AB C AB ABC AB ABC AB C ABC AB ABC AB ABC C ( 2)真值表 A B C Y A B C Y 00011000 00101011 01001101 01111110 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1 和全为0 时,Y=1,否则 Y=0 。 [题 4.3] 分析图P4.3电路的逻辑功能,写出Y1、、Y2的逻辑函数式,列出真值表,指出 电路完成什么逻辑功能。

A B Y 2 C Y 1 图 P4.3 [解 ] 解: Y2AB BC AC Y1 ABC ( A B ) C Y2 ABC ( A B ) BC AC C AB ABC ABC ) ABC ABC 真值表: A B C Y1 Y2 00000 00110 01010 01101 10010 10101 11001 11111 由真值表可知:电路构成全加器,输入 A 、B 、C 为加数、被加数和低位的进位,Y 1为“和”, Y 2为“进位”。 [题 4.4]图 P4.4 是对十进制数9 求补的集成电路CC14561 的逻辑图,写出当COMP=1 、Z=0 、和 COMP=0 、 Z=0 时, Y 1~ Y 4的逻辑式,列出真值表。

组合逻辑电路的分析

组合逻辑电路的分析(大题)一.目的 由逻辑图得出逻辑功能 二.方法(步骤) 1.列逻辑式: 由逻辑电路图列输出端逻辑表达式; (由输入至输出逐级列出) 2.化简逻辑式: 代数法、卡诺图法; (卡诺图化简步骤保留) 3.列真值表: 根据化简以后的逻辑表达式列出真值表;4.分析逻辑功能(功能说明): 分析该电路所具有的逻辑功能。 (输出与输入之间的逻辑关系); (因果关系) (描述函数为1时变量取值组合的规律) 技巧:先用文字描述真值表的规律(即叙述函数值为1时变量组合所有的取值),然后总结归纳电路实现的具体功能。

5.评价电路性能。三.思路总结: 组合逻辑 电路逻辑表达式最简表达式真值表逻辑功能化简 变换 四.注意: 关键:列逻辑表达式; 难点:逻辑功能说明 1、逻辑功能不好归纳时,用文字描述真值表的规律。(描述函数值为1时变量组合所有的取值)。 2、常用的组合逻辑电路。 (1)判奇(偶)电路; (2)一致性(不一致性)判别电路; (3)相等(不等)判别电路; (4)信号有无判别电路; (5)加法器(全加器、半加器); (6)编码器、优先编码器; (7)译码器; (8)数值比较器; (9)数据选择器; (10)数据分配器。

3、多输出组合逻辑电路判别: 1)2个输出时考虑加法器:2输入半加;3输入全加。 2)4输出时考虑编码器:4输入码型变换;编码器。 五.组合逻辑电路分析实例 例1 电路如图所示,分析电路的逻辑功能。 A B Y 解: (1)写出输出端的逻辑表达式:为了便于分析可将电路自左至右分三级逐级写出Z1、Z2、Z3和Y的逻辑表达式为:

组合逻辑电路中的竞争与冒险.

组合逻辑电路中的竞争与冒险 前面分析组合逻辑电路时,都没有考虑门电路的延迟时间对电路产生的影响。实际上, 从信号输入到稳定输出需要一定的时间。由于从输入到输出的过程中, 不同通路上门的级数不同, 或者门电路平均延迟时间的差异, 使信号从输入经不同通路传输到输出级的时间不同。由于这个原因, 可能会使逻辑电路产生错误输出,通常把这种现象称为竞争冒险。 竞争:在组合逻辑电路中, 某个输入变量通过两条或两条以上途径传到输出门的输入端, 由于每条途径的延迟时间不同帮到达输出门的时间就有先有后, 这种现象称为竞争。 冒险:是指数字电路中, 某个瞬间出现了非预期信号的现象, 即某一瞬间数字电路出现了违背真值表所规定的逻辑电平。这样就出现了不该出现的尖脉冲, 一、 竞争冒险的概念及其产生的原因 以图示电路为例可看出, 大多数组合电路都存在竞争, 但所有竞争不一定都产生错误的干扰脉冲。竞争是产生冒险的必然条件, 而冒险并非竞争的必然结果。由以上分析可知, 只要两个互补的信号送入同一门电路, 就可能出现竞争冒险。因此把冒险现象分为两种: 1. “ 0”型冒险

A A +冒险在理想情况下输出电平为“ 1” , 由于竞争输出产生低电平窄脉冲。 A A ?冒险在理想情况下输出电平为“ 0” ,由于竞争输出产生高电平窄脉冲。 二、竞争冒险的判断方法 判断竞争冒险是否存在的方法很多,最常见的方法有: 1.代数法 在逻辑函数表达式中, 是否存在某变量的原变量和反变量。若去掉其他变量得到 A A Y +=,电路有可能产生“ 0”冒险;若得到 A A Y ?=,则可能产生“ 1” 冒险。 2.卡诺图法 画出逻辑函数的卡诺图, 当卡诺图中两个合并最小项圈相切, 即两个合并最小项圈相邻—有相邻项, 各合并最小项圈各自独立—不相交时, 这个逻辑函数有可能出现冒险现象。 三、消除竞争冒险的方法 1.修改逻辑设计 (1代数法 ①逻辑变换消去互补量 ((C A B A Y ++=当 B =C=0时, A A Y ?=, 存在竞争冒险。若将逻辑函数表达式进行逻辑变换,则 BC B A AC Y ++=,这时消去了 A A ?互补量,从而不会产生竞争冒险。②增加乘积项 C A AB Y +=当 B=C=1时, A A Y +=,存在竞争冒险。若增加乘积项 BC , 则 BC C A AB Y ++=,消除了竞争冒险。 (2卡诺图法 将卡诺图中相切的圈用一个多余的圈连接起来,即可消除冒险现象。

第六章 组合逻辑电路要点

第六章组合逻辑电路 一、概述 1、组合逻辑电路的概念 数字电路根据逻辑功能特点的不同分为: 组合逻辑电路:指任何时刻的输出仅取决于该时刻输入信号的组合,而与电路原有的状态无关的电路。 时序逻辑电路:指任何时刻的输出不仅取决于该时刻输入信号的组合,而且与电路原有的状态有关的电路。 2、组合逻辑电路的特点 逻辑功能特点:没有存储和记忆作用。 组成特点:由门电路构成,不含记忆单元,只存在从输入到输出的通路,没有反馈回路。 3、组合逻辑电路的描述 4、组合逻辑电路的分类 按逻辑功能分为:编码器、译码器、加法器、数据选择器等; 按照电路中不同基本元器件分为:COMS、TTL等类型; 按照集成度不同分为:SSI、MSI、LSI、VLSI等。 二、组合逻辑电路的分析与设计方法 1、分析方法 根据给定逻辑电路,找出输出输入间的逻辑关系,从而确定电路的逻辑功能,其基本步骤为: a、根据给定逻辑图写出输出逻辑式,并进行必要的化简; b、列出函数的真值表; c、分析逻辑功能。 2、设计方法 设计思路:分析给定逻辑要求,设计出能实现该功能的组合逻辑电路。 基本步骤:分析设计要求并列出真值表→求最简输出逻辑式→画逻辑图。 首先分析给定问题,弄清楚输入变量和输出变量是哪些,并规定它们的符号与逻辑取值(即规定它们何时取值0 ,何时取值1) 。然后分析输出变量和输入变量间的逻辑关系,列出真值表。根据真值表用代数法或卡诺图法求最简与或式,然后根据题中对门电路类型的要求,将最简与或式变换为与门类型对应的最简式。

三、若干常用的组合逻辑电路 (一)、编码器 把二进制码按一定规律编排,使每组代码具有特定的含义,称为编码。具有编码功能的逻辑电路称为编码器。 n 位二进制代码有n 2种组合,可以表示n 2个信息;要表示N 个信息所需的二进制代码应满足n 2≥ N 。 1、普通编码器 (1)、二进制编码器 将输入信号编成二进制代码的电路。下面以3位二进制编码器为例分析普通编码器的工作原理。 3位二进制编码器的输入为70~I I 共8个输入信号,输出是3位二进制代码012Y Y Y ,因此该电路又称8线-3线编码器。它有以下几个特征: a 、将70~I I 8个输入信号编成二进制代码。 b 、编码器每次只能对一个信号进行编码,不允许两个或两个以上的信号同时有效。 c 、设输入信号高电平有效。 由此可得3位二进制编码器的真值表如右图所示,那么由真值表可知: 765476542I I I I I I I I Y =+++= 763276321I I I I I I I I Y =+++= 753175310I I I I I I I I Y =+++= 进而得到其逻辑电路图如下:

组合逻辑电路练习题和答案

第2章习题 一、单选题 1.若在编码器中有50个编码对象,则输出二进制代码位数至少需要( B )位。 A)5 B)6 C)10 D)50 2.一个16选1的数据选择器,其选择控制(地址)输入端有( C )个,数据输入端有( D )个,输出端有( A )个。 A)1 B)2 C)4 D)16 3.一个8选1的数据选择器,当选择控制端S2S1S0的值分别为101时,输出端输出( D )的值。 A)1 B)0 C)D4D)D5 4.一个译码器若有100个译码输出端,则译码输入端至少有( C )个。 A)5 B)6 C)7 D)8 5.能实现并-串转换的是( C )。 A)数值比较器B)译码器C)数据选择器D)数据分配器 6.能实现1位二进制带进位加法运算的是( B )。 A)半加器B)全加器C)加法器D)运算器 7.欲设计一个3位无符号数乘法器(即3×3),需要()位输入及( D )位输出信号。A)3,6 B)6,3 C)3,3 D)6,6 8.欲设计一个8位数值比较器,需要()位数据输入及( B )位输出信号。 A)8,3 B)16,3 C)8,8 D)16,16 9. 4位输入的二进制译码器,其输出应有( A )位。 A)16 B)8 C)4 D)1 二、判断题 1. 在二——十进制译码器中,未使用的输入编码应做约束项处理。() 2. 编码器在任何时刻只能对一个输入信号进行编码。()

3. 优先编码器的输入信号是相互排斥的,不容许多个编码信号同时有效。( ) 4. 编码和译码是互逆的过程。( ) 5. 共阴发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。( ) 6. 3位二进制编码器是3位输入、8位输出。( ) 7. 组合逻辑电路的特点是:任何时刻电路的稳定输出,仅仅取决于该时刻各个输入变量的取值,与电路原来的状态无关。( ) 8. 半加器与全加器的区别在于半加器无进位输出,而全加器有进位输出。( ) 9. 串行进位加法器的优点是电路简单、连接方便,而且运算速度快。( ) 10. 二进制译码器的每一个输出信号就是输入变量的一个最小项。( ) 11. 竞争冒险是指组合电路中,当输入信号改变时,输出端可能出现的虚假信号。( ) 三、综合题 1.如图所示逻辑电路是一个什么电路,当A 3~A 0输入0110,B 3~B 0输入1011,Cin 输入1时,Cout 及S 3~S 0分别输出什么 +A 3B 3C in 3C out +++A 2B 2A 1B 1A 0B 0210 答:图中所示电路是4位串行进位全加器电路 C out =1,S 3S 2S 1S 0=0001 2.使用门电路设计一个4选1的数据选择 器,画出逻辑图。 解:4选1数据选择器有4个数据输入 端(D 0D 1D 2D 3),2个选择输入端(S 1S 0),1个 数据输出端(Y )。真值表如下: D S 1 S 0 Y

门电路与组合逻辑电路

第七章门电路与组合逻辑电路 习题一 一、选择题 1. 三态门输出高阻状态时,是正确的说法。 A.用电压表测量指针不动 B.相当于悬空 C.电压不高不低 D.测量电阻指针不动 2. 以下电路中可以实现“线与”功能的有。 A.与非门 B.三态输出门 C.集电极开路门 D.漏极开路门 3.以下电路中常用于总线应用的有。 A.T S L门 B.O C门 C.漏极开路门 D.C M O S与非门 4.逻辑表达式Y=A B可以用实现。 A.正或门 B.正非门 C.正与门 D.负或门 5.T T L电路在正逻辑系统中,以下各种输入中相当于输入逻辑“1”。 A.悬空 B.通过电阻 2.7kΩ接电源 C.通过电阻 2.7kΩ接地 D.通过电阻510Ω接地 6.对于T T L与非门闲置输入端的处理,可以。 A.接电源 B.通过电阻3kΩ接电源 C.接地 D.与有用输入端 并联 7.要使T T L与非门工作在转折区,可使输入端对地外接电阻R I。 A.>R O N B.<R O F F C.R O F F<R I<R O N D.>R O F F 8.三极管作为开关使用时,要提高开关速度,可。 A.降低饱和深度 B.增加饱和深度 C.采用有源泄放回路 D.采用抗饱和三极管 9.C M O S数字集成电路与T T L数字集成电路相比突出的优点是。 A.微功耗 B.高速度 C.高抗干扰能力 D.电源范围宽 10.与C T4000系列相对应的国际通用标准型号为。 A.C T74S肖特基系列 B.C T74L S低功耗肖特基系列 C.C T74L低功耗系列 D.C T74H高速系列 二、判断题(正确打√,错误的打×) 1.TTL与非门的多余输入端可以接固定高电平。() 2.当TTL与非门的输入端悬空时相当于输入为逻辑1。() 3.普通的逻辑门电路的输出端不可以并联在一起,否则可能会损坏器件。() 4.两输入端四与非门器件74LS00与7400的逻辑功能完全相同。() 5.CMOS或非门与TTL或非门的逻辑功能完全相同。()

第六章 几种常用的组合逻辑电路试题及答案上课讲义

第六章几种常用的组合逻辑电路试题及答 案

第六章几种常用的组合逻辑电路 一、填空题 1、(8-1易)组合逻辑电路的特点是:电路在任一时刻输出信号稳态值由 决定(a、该时刻电路输入信号;b、信号输入前电路原状态),与无关(a、该时刻电路输入信号;b、信号输入前电路原状态),属于(a、有; b、非)记忆逻辑电路。 2、(8-2易)在数字系统中,将具有某些信息的符号变换成若干位进制代码表示,并赋予每一组代码特定的含义,这个过程叫做,能实现这种 功能的电路称为编码器。一般编码器有n个输入端,m个输出端,若输入低电平有效,则在任意时刻,只有个输入端为0,个输入端为1。对于优先编码器,当输入有多个低电平时,则。 3、(8-3易,中)译码是的逆过程,它将转换成。译码器有多个输入和多个输出端,每输入一组二进制代码,只有个输出端有效。n个输入端最多可有个输出端。 4、(8-2易) 74LS148是一个典型的优先编码器,该电路有个输入端和个输出端,因此,又称为优先编码器。 5、(8-4中)使用共阴接法的LED数码管时,“共”端应接,a~g应接输出 有效的显示译码器;使用共阳接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器,这样才能显示0~9十个数字。 6、(8-4中)译码显示电路由显示译码器、和组成。 7.(8-4易)译码器分成___________和___________两大类。 8.(8-4中)常用数字显示器有_________,_________________,____________等。 9.(8-4中)荧光数码管工作电压_______,驱动电流______,体积_____,字形清晰美观,稳定可靠,但电源功率消耗______,且机械强度_____。 10.(8-4中)辉光数码管管内充满了_________,当它们被______时,管子就发出辉光。 11.(8-4易)半导体发光二极管数码管(LED)可分成_______,_______两种接法。

组合逻辑电路课后答案

第4章 [题].分析图电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题] 分析图电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) 由真值表可知:、C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题] 图是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP=0、Z=0的真值表从略。 [题] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题的真值表如表所示,逻辑图如图(b)所示。

习题1-门电路和组合逻辑电路

第20章习题 门电路和组合逻辑电路 S10101B 为实现图逻辑表达式的功能,请将TTL 电路多余输入端C 进行处理(只需一种处理方法),Y 1的C 端应接 ,Y 2的C 端应接 , 解:接地、悬空 S10203G 在F = AB +CD 的真值表中,F =1的状态有( )。 A. 2个 B. 4个 C. 3个 D. 7个 解:D S10203N 某与非门有A 、B 、C 三个输入变量,当B =1时,其输出为( )。 A. 0 B. 1 C. D. AC 解:C S10204B 在数字电路中,晶体管的工作状态为( )。 A. 饱和 B. 放大 C. 饱和或放大 D. 饱和或截止 解:D S10204I 逻辑电路如图所示,其逻辑函数式为( )。 A. B. C. D. 解:C S10204N 已知F =AB +CD ,选出下列可以肯定使F = 0的情况( )。 A. A = 0,BC = 1 B. B = C = 1 C. C = 1,D = 0 D. AB = 0,CD = 0 解:D S10110B 三态门电路的三种可能的输出状态是 , , 。 解:逻辑1、逻辑0、高阻态 S10214B 逻辑图和输入A ,B 的波形如图所示,分析当输出F 为“1”的时刻应是( )。 A. t 1 B. t 2 C. t 3 解:A Y

S10211I 图示逻辑电路的逻辑式为( )。 A. B. C. 解:B S10212I 逻辑电路如图所示,其功能相当于一个( )。 A. 门 B. 与非门 C. 异或门 解:C S10216B 图示逻辑电路的逻辑式为( )。 A. A +B B. C. AB + 解:C S10217B 逻辑图如图(a )所示,输入A 、B 的波形如图(b ),试分析在t 1瞬间输出F 为( )。 A. “1” B. “0” C. 不定 解:B S10218B 图示逻辑符号的逻辑状态表为( )。 A. B. C. 解:B

门电路及组合逻辑电路复习答案

第九章 门电路及组合逻辑电路 一、填空题 1、模拟信号的特点是在 和 上都是 变化的。(幅度、时间、连续) 2、数字信号的特点是在 和 上都是 变化的。(幅度、时间、不连续) 3、数字电路主要研究 与 信号之间的对应 关系。(输出、输入、逻辑) 4、最基本的三种逻辑运算是 、 、 。(与、或、非) 5、逻辑等式三个规则分别是 、 、 。(代入、对偶、反演) 6、逻辑函数常用的表示方法有 、 和 。(真值表、表达式、卡诺图、逻辑图、波形图五种方法任选三种即可) 7、半导体二极管具有 性,可作为开关元件。(单向导电) 8、半导体二极管 时,相当于短路; 时,相当于开路。(导通、截止) 9、半导体三极管作为开关元件时工作在 状态和 状态。(饱和、截止) 10、在逻辑门电路中,最基本的逻辑门是 、 和 。(与门、或门、非门) 11、与门电路和或门电路具有 个输入端和 个输出端。(多、一) 12、非门电路是 端输入、 端输出的电路。(单、单) 13、根据逻辑功能的不同特点,逻辑电路可分为两大类: 和 。(组合逻辑电路、时序逻辑电路) 14、组合逻辑电路主要是由 、 和 三种基本逻辑门电路构成的。(与门、或门、非门) 15、(1)2(10011011)(= 8)(= 16) 答:233、9B (2)16()(AE = 2)(= 8) 答:10101110、256 (3)()125(10= 2) (4)()375.13(10= 2) 答:(1)1111101(2)1101.011 二、判断题 1、十进制数74转换为8421BCD 码应当是BCD 8421)01110100(。 (√) 2、十进制转换为二进制的时候,整数部分和小数部分都要采用除2取余法。(╳) 3、若两个函数相等,则它们的真值表一定相同;反之,若两个函数的真值表完全相同,则这两个函数未必相等。(╳)

第六章几种通用的组合逻辑电路试题及其规范标准答案

第六章几种常用的组合逻辑电路 一、填空题 1、(8-1易)组合逻辑电路的特点是:电路在任一时刻输出信号稳态值由决定(a、该时刻电路输入信号;b、信号输入前电路原状态),与无关(a、该时刻电路输入信号;b、信号输入前电路原状态),属于(a、有;b、非)记忆逻辑电路。 2、(8-2易)在数字系统中,将具有某些信息的符号变换成若干位进制代码表示,并赋予每一组代码特定的含义,这个过程叫做,能实现这种 功能的电路称为编码器。一般编码器有n个输入端,m个输出端,若输入低电平有效,则在任意时刻,只有个输入端为0,个输入端为1。对于优先编码器,当输入有多个低电平时,则。 3、(8-3易,中)译码是的逆过程,它将转换成。译码器有多个输入和多个输出端,每输入一组二进制代码,只有个输出端有效。n 个输入端最多可有个输出端。 4、(8-2易)74LS148是一个典型的优先编码器,该电路有个输入端和个输出端,因此,又称为优先编码器。 5、(8-4中)使用共阴接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器;使用共阳接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器,这样才能显示0~9十个数字。 6、(8-4中)译码显示电路由显示译码器、和组成。 7.(8-4易)译码器分成___________和___________两大类。 8.(8-4中)常用数字显示器有_________,_________________,____________等。 9.(8-4中)荧光数码管工作电压_______,驱动电流______,体积_____,字形清晰美观,稳定可靠,但电源功率消耗______,且机械强度_____。 10.(8-4中)辉光数码管管内充满了_________,当它们被______时,管子就发出辉光。 11.(8-4易)半导体发光二极管数码管(LED)可分成_______,_______两种接法。 12.(8-4中)发光二极管正向工作电压一般为__________。为了防止二极管过电流而损坏,使用时在每个二极管支路中应______________。 13.(8-3中)单片机系统中,片内存储容量不足需要外接存储器芯片时,可用_________作高位地址码。 14.(8-3中)数字系统中要求有一个输入端,多个数据输出端,可用_________输入端作为

组合逻辑电路练习题及答案

组合逻辑电路练习题及答案 一.填空题(10) 1.任何有限的逻辑关系,不管多么复杂,其逻辑函数都可通过逻辑变量的与、或、非三种运算符加以实现,但逻辑函数的一般表达式不是唯一的,而其标准表达式是唯一的。 2.任意两个最小项之积为0,任意两个最大项之和为1。 3.对于逻辑函数BC A F,但这 AB F,为了化简,利用逻辑代数的基本定理,可表示为C C A AB 可能引起0型险象,因为在B=1、C=1时,化简前逻辑函数的值恒为1,但化简后逻辑函数的值为A A。 4.当我们在计算机键盘上按一个标为“9”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为39。 5.在 3.3V供电的数字系统里,所谓的高电平并不是一定是 3.3V,而是有一个电压范围,我们把这个电压范围称为高电平容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平容限。 二.选择题(10) 1.在下列程序存储器的种类中,可在线改写的有 b d。 a. PROM; b. E2PROM; c. EPROM; d. FLASH_M 2.为了实现某种逻辑运算关系,其实现方法有多种多样,其中历史上曾经用到的有以下几种方式,但实现的空间密度最小、能耗最低、能得到普及应用的实现方式是d。 a. 机械式; b.电磁式; c. 分立元件式; d. 集成电路 3.在数字电路中,根据电路是否具有反馈记忆功能,将其分为组合逻辑电路和时序逻辑电路两种。下列各项中,为组合逻辑电路的是befgi ,为时序逻辑电路的是acdh。 a. 触发器; b. 译码器; c. 移位寄存器; d. 计数器; e. 加法器; f. 编码器;g. 数值比较器;h. 寄存器;i. 多路选择器 4.卡诺图上变量的取值顺序是采用b的形式,以便能够用几何上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII码; d. 十进制码 5.在可编程逻辑芯片中,有PROM、PAL、GAL、CPLD等多种结构方式,其中PROM是b,PAL 是c,GAL是a,CPLD是a。 a. 与阵列可编程; b.或阵列可编程; c. 与或阵列皆可编程 三.简答题(50) 1.分别画出JK和D触发器的电路符号图,并分别画出将JK触发器转换成D触发器以及将D触发器转换成JK触发器的电路连接图。 1

组合逻辑电路中的竞争冒险备课讲稿

组合逻辑电路中的竞 争冒险

目录 摘要 1 关键词 (1) Abstract (1) Key words (1) 1 引言 (1) 2 竞争冒险现象及产生的原因 (1) 2.1竞争冒险现象 (1) 2.2竞争冒险现象产生的原因 (2) 2.3竞争冒险的危害 (2) 2.4竞争冒险的分类 (2) 2.4.1静态冒险 (2) 2.4.2动态冒险 (2) 3竞争冒险的判断 (2) 3.1代数法 (2) 3.2卡诺图法 (3) 3.3仿真法和实验法 (4) 3.4通过实验判断竞争冒险现象 (4) 3.4.1实验分析 (6) 3.4.2实验总结 (7) 4竞争冒险的消除方法 (7) 4.1增加冗余项法 (7) 4.2消除互补项法 (7) 4.3接入滤波电容 (7) 4.4引入选通脉冲 (8) 4.5引入封锁脉冲 (8) 4.6采用可靠性编码 (8) 4.7输出加D触发器 (8) 5实际应用中竞争冒险的敏感度问题 (8) 6总结 (8) 致谢 10 参考文献 (10)

组合逻辑电路中的竞争冒险 网络工程专业学生郭翔 指导教师吴俊华 摘要:在组合逻辑电路中,当输入信号改变状态时,输出端可能出现由于竞争冒险而产生的干扰脉冲信号,如果负载是对干扰脉冲信号十分敏感的电路,有可能引起电路的误动作,因此应该采取措施消除竞争冒险。从理论上分析了组合逻辑电路竞争冒险的产生,及其判断和消除的方法,其产生原因包括:门电路开关电平的时间差和门电路延迟时间。竞争冒险可以通过代数法、卡诺图法、仿真法和实验法进行判断,采用引入选通脉冲、引入封锁脉冲、增加冗余项、接入滤波电容等手段以消除竞争冒险。 关键词:组合逻辑电路竞争冒险干扰消除门电路 Competitive Adventure in Assembled Logical Circuit Student Majoring in Network Engineering Guo Xiang Tutor Wu Junhua Abstract: The disturbance pulse caused by competition and adventure may be emerged in the out put terminal of assembled logic circuit when the statement of input signals changes. The misact caused by the disturbance may appear if the load is very sensitive to the pulse. So the measures should be taken to eliminate the competition and adventure. The reasons of competition and adventure in assembled logic circuit are analyzed and the judging and eliminating method are provided in the paper. The interval between on/off levels in a gate circuit and the delay time of gate circuits is resulted in by competitive adventure. Competitive adventure can be detected by a circuit’s logical function, listing the truth table of circuit in sequence and testing the circuit. The methods of exerting gating pulse and blocking pulse, transforming function of a circuit, and adding redundancy product term, parallel connection capacitance at the output terminal, etc are applied to eliminate competitive adventure. Key words: Assembled logic circuit; Competition and adventure; Disturbance eliminating; Gate circuits 1引言 数字电路分为组合逻辑电路和时序逻辑电路两大类,是电子技术的重要组成部分,掌握数字电路的基本知识是设计计算机控制系统的基础。计算机控制系统性能优劣的重要指标是其稳定性、可靠性和抗干扰性,这在很大程度上取决于构成其系统的基本部件的性能。组合逻辑电路中的门电路由于其本身的结构和工作情况,常常会发生竞争冒险现象。因此,在组合逻辑电路的分析和设计中,仅研究输入与输出之间的稳定关系是不够的,还应考虑信号在电路中传输的时延问题,事实上,信号经过任何逻辑门与导线时都会产生时间的延迟,该时间的延迟会使数字系统的操作速度下降,引起电路中波形参数变坏,产生竞争冒险现象,而竞争与冒险现象将会直接影响电路工作的可靠性和稳定性,甚至可能会导致整个数字系统的逻辑紊乱和错误动作。因此在组合逻辑电路中竞争与冒险的判别和消除对于保证电路正常工作具有至关重要的意义[1]。 2 竞争冒险现象及产生的原因 2.1竞争冒险现象

第4章 组合逻辑电路 课后答案

第4章 [题4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图P4.2 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题4.3] 分析图P4.3电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题4.4] 图P4.4是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [题4.5] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题4.5的真值表如表A4.5所示,逻辑图如图A4.5(b)所示。

3.1组合逻辑电路的分析

第三章组合逻辑电路 基本要求: 熟练掌握组合逻辑电路的分析方法;掌握组合逻辑电路的设计方法;理解全加器、译码器、编码器、数据选择器、数据比较器的概念和功能,并掌握它们的分析与实现方法;了解组合逻辑电路中的险象 本章主要内容:组合逻辑电路的分析方法和设计方法。 本章重点: 组合逻辑电路的分析方法 组合逻辑电路的设计方法 常用逻辑部件的功能 本章难点: 组合逻辑电路的设计 一、组合逻辑电路的特点 若一个逻辑电路,在任一时刻的输出仅取决于该时刻输入变量取值组合,而与电路以前的状态无关,则电路称为组合逻辑电路(简称组合电路)。可用一组逻辑函数描述。 组合电路根据输出变量分为单输出组合逻辑电路和多输出组合逻辑电路。 注意:1.电路中不存在输出端到输入端的反馈通路。 2.电路不包含记忆元件。 3.电路的输出状态只由输入状态决定。 二、组合逻辑电路的分析方法 分析的含义:给出一个组合逻辑电路,分析它的逻辑功能。 分析的步骤: 1.根据给出的逻辑电路图,逐级推导,得到输出变量相对于

输入变量的逻辑函数。 2.对逻辑函数化简。 3.由逻辑函数列出对应的真值表。 4.由真值表判断组合电路的逻辑功能。 三、组合电路的分析举例 1、试分析图3-1所示的单输出组合逻辑电路的功能 解:(1)由G1、G2、G3各个门电路的输入输出关系,推出整个电路的表达式: Z1=ABC F=Z1+Z2 (2)对该逻辑表达式进行化简: (3)根据化简后的函数表达式,列出真值表3-1。 (4)从真值表中可以看出:当A、B、C三个输入一致时(或者全为“0”、或者全为“1”),输出才为“1”,否则输出为“0”。所以,这个组合逻辑电路具有检测“输入不一致”的功能,也称为“不一致电路”。

门电路和组合逻辑电路

第十六章 门电路和组合逻辑电路 一 选择题 1、下列逻辑表达式正确的是( )。 .0A A A += .11B A ?= .C A AB A B +=+ .D A AB AB += 2、时序逻辑电路中,以下说法正确的是( )。 A 、电路中任意时刻的输出只取决于当时的输入信号,与电路原来的 状态无关。 B 、电路中任意时刻的输出不仅与当时的输入信号有关,同时还取决于 电路原来的状态。 C 、电路中任意时刻的输出只取决于电路原来的状态,与当时的输入 信号无关。 D 、以上均不正确。 3、数据选择器的地址输入端有2个时,最多可以有( )个数据信号 输入。 A 、1 B 、2 C 、4 D 、8 4、数据选择器的地址输入端有3个时,最多可以有( )个数据信号输入。 A 、4 B 、6 C 、8 D 、16 5、组合逻辑电路中,以下说法正确的是( )。 A 、电路中任意时刻的输出只取决于当时的输入信号,与电路原来的状态无关。 B 、电路中任意时刻的输出不仅与当时的输入信号有关,同时还取决于电路原来的状态。 C 、电路中任意时刻的输出只取决于电路原来的状态,与当时的输入信号无关。 D 、以上均不正确。 6、下列几种TTL 电路中,输出端可实现线与功能的电路是( )。 A 、或非门 B 、与非门 C 、异或门 D 、OC 门 7、数据选择器有10个数据信号输入端时,至少得有( )个地址输入端。 A 、2 B 、3 C 、4 D 、5 8、以下哪个电路不是组合逻辑电路( )。 A 、编码器 B 、计数器 C 、译码器 D 、加法器

9、下列逻辑表达式正确的是( )。 .0A A A += .11B A ?= .C A AB A B +=+ .D A AB AB += 10、衡量集成逻辑电路优劣的因数是用它的:( ) A .增益×带宽; B .传输延迟时间×功耗; C .扇出系数×传输延迟时间; D .噪声容限×功耗。 11、以下诸论述中,唯一正确的是:( ) A .可以用OC 门构成电平变换电路; B .ECL 门电路主要用于集成度要求高的场合; C .CM0S 器件不可以和TTL 器件兼容; D .CMOS 器件的电源电压使用范围特别小,对电源的准确性要求严格. 12、集成门电路(不论是与、或、与非…等)的输入端若超过了需要,则这些多余的输入端应按哪种方式去处置才是正确的?( ) A .让它们开路; B .让它们通过电阻接最高电平(例如电源电压); C .让它们接地,或接电源的最低电平; D .让它们和使用中的输入端并接。 13、 以下表达式中符合逻辑运算法则的是( ) A.C ·C=C 2 B.1+1=10 C.0<1 D.A+1=1 14、 当逻辑函数有n 个变量时,共有( )个变量取值组合? A. n B. 2n C. n 2 D. 2n 15、. 逻辑函数的表示方法中具有唯一性的是( ) A .真值表 B.表达式 C.逻辑图 D.卡诺图 16、F=A B +BD+CDE+A D=( ) A.D B A + B.D B A )(+ C.))((D B D A ++ D.))((D B D A ++ 二 填空题 1.电子电路按功能可分为 电路和 电路。 2.根据电路的结构特点及其对输入信号响应规则的不同,数字电路可分为 和 。 3.数字电路的分析方法主要用 、功能表、 、波形图。 4.数字信号是一系列时间和数值都 的信号。 5.在数字电路中有两种数字逻辑状态分别是逻辑 和逻辑 。 6.逻辑函数F=)(B A A ⊕⊕ =

第4章组合逻辑电路习题解答

习题 4.1写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。(基本题属于4.1节) 习题4.1图 解:B A B A B A B A B A F ⊕=+=+= 该电路实现异或门的功能 4.2分析图所示电路,写出输出函数F 。(基本题属于4.1节) 习题4.2图 解:[]B A B B B A F ⊕=⊕⊕⊕=)( 4.3已知图示电路及输入A 、B 的波形,试画出相应的输出波形F ,不计门的延迟.(基本 题属于4.1节) 图 解:B A B A B A AB B AB A AB B AB A F ⊕=?=???=???= 4.4由与非门构成的某表决电路如图所示。其中A 、B 、C 、D 表示4个人,L=1时表示决议通过。(基本题属于4.1节) (1) 试分析电路,说明决议通过的情况有几种。 (2) 分析A 、B 、C 、D 四个人中,谁的权利最大。 L B A =1 =1 =1 F F B A

习题4.4图 解:(1)ABD BC CD ABD BC CD L ++=??= (2) (3)4.5分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。(基本题属于4.1节) 习题4.5图 解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2) (3)当S 1S 0=00和S 1S 0=11时,该电路实现两输入或门,当S 1S 0=01时,该电路实现两输入或非门,当S 1S 0=10时,该电路实现两输入与非门。 4.6试分析图所示电路的逻辑功能,并用最少的与非门实现。(综合题属于4.1、4.2节) 10

相关文档
最新文档