60秒倒计时器MAXII240T

60秒倒计时器MAXII240T
60秒倒计时器MAXII240T

EDA课程设计报告

设计题目:基于verilog的倒计时器设计专业班级:

学号:

学号:

学号:

指导教师:

设计时间:

目录

摘要 (3)

关键字 (3)

前言 (3)

1 倒计时的功能和设计结构 (3)

1.1 倒计时器的系统的功能 (3)

1.2 倒计时器的设计结构 (4)

2 主要模块程序 (4)

2.1 分频器 (4)

2.2 计时器 (5)

2.3 显示器 (7)

2.4 指示器 (9)

3 各模块原理图 (10)

3.1 顶层模块原理图 (10)

3.2 计数模块原理图 (11)

3.3 分频模块原理图 (11)

3.4 彩灯模块原理图 (11)

3.5 显示模块原理图 (12)

4 实际电路运行效果图 (12)

5 软件介绍和管脚说明 (13)

5.1 管脚说明 (13)

5.2 软件介绍 (13)

6 总结与心得体会 (14)

7 致谢 (14)

7 参考文献 (15)

基于Verilog 的60秒倒计时器设计

()

摘要本文提出一种基于Verilog HDL语言的60秒倒计时器设计方法。该设计实现有两组输入,具有清零功能和暂停功能,能进行60秒的倒计时器。文中介绍了倒计时器设计架构和控制程序的设计方法。该倒计时器采用Verilog HDL语言模块化和层次化的思想,使设计十分简单,并能在MAX II开发板上实现验证,具有广泛的现实意义。

关键字倒计时器;Verilog HDL;层次化和模块化;仿真验证

前言现行的倒计时器主要有两种,基于小规模数字逻辑芯片设计,另外一种基于单片机设计。小规模数字逻辑电路比较复杂,单片机随着倒计时器功能输入的增加,存在I/O资源不足的情况。本文提出一种方便可行的倒计时器的设计方法,即利用Verilog HDL硬件描述语言来设计倒计时器,并且在MAX II开发板上仿真实现,Verilog HDL语言满足数字系统设计和综合的所有要求,设计中充分利用Verilog HDL层次化和模块化的思想,使得倒计时器的整个设计过程简单,灵活;同时,在设计过程中始终运用EDA工具开发板对设计

进行验证,使得设计更加可靠。由于开发板的I/O端口比较丰富,可以在本设计基础上稍加修改设计具有更多功能的倒计时。

1 倒计时器的功能和设计结构

1.1 倒计时器系统的功能

本文设计一个电子倒计时器:可进行60秒倒计时,七段显示译码管显示秒数,循环彩灯显示电路正常工作。

倒计时器具体功能如下:(1)可进行60秒倒计时,倒计时开始,开发板上7段显示译码管上从60开始进行倒数,直至0,重新返回60重新开始计时,这一过程用七段译码管显示器显示;(2)设置了一个复位控制开关reset,可以进行复位清零功能控制,当倒计时正在进行倒数工作时,当按下清零复位开关,倒计时器重新返回60,开始倒计时。(3)设置了一个暂停控制开关stop,可以进行暂停功能控制,当倒计时进行倒数工作,无论倒数进行到哪一步,当按下暂停控制开关stop时,七段显示译码管的数字将保持不变,循环彩灯也将保持不变,说明整个倒计时器停止工作。当松开暂停控制开关,倒计时器重新开始工作,循环彩灯开始闪亮,说明倒计时器正在工作。

1.2 倒计时器的设计结构

本文以Verilog HDL语言为基础设计的60秒的倒计时器,根据设计功能的要求,该设计主要包括分频器产生时钟信号,倒计时的计数,和清零复位、暂停按键的键入,数码管的显示,以及循坏彩灯的设置。

2.主要模块程序

2.1 分频器

module div_fre(clk,sec); input clk; output sec; reg sec; reg [ 27:0] count;

always (posedge clk) begin

count<=count+1'b1;

if(count==28'd2*******) //用于产生1HZ 的脉冲 begin count<=0;

sec=~sec;

end

end

endmodule

该模块是用以实现把50MHZ的信号转化为1HZ的信号,从而产生一个1秒的时钟信号。

2.2 计时器

module control(clk,reset,disp,stop);

input clk,reset,stop;

integer count;

output[7:0] disp;

reg[5:0] num;

always (negedge clk )

begin

if(~reset)

count<=1'b0;

else if(~stop) // 用于实现计数器的暂停功能

count<=count+1'b0;

else if(count==6'b111100)//用于计数器计数到达60,重新置0

count<=1'b0;

else

count<=count+1'b1;

end

assign disp[7:4]=num/10,//用于向数码管送显示数据,A方向十位

disp[3:0]=num%10;

always (negedge clk )

begin

if(~reset)//用于倒计时器的清零功能

begin

num<=0;

end

else if (count<7'b1100100)

begin

num<=6'b111100-(count);//用于实现倒计时

end

end

endmodule

该模块首先用count进行计数,将倒计时器的初始时间设置为60秒,再用一个条件语句执行倒计时功能。除此以外,该模块还可进行清零复位和暂停功能的实现。

2.3显示模块

module seg7(clk,dataout,en,datain);

input [7:0] datain;

input clk;

output[6:0] dataout;

output [1:0] en;//COM使能输出

reg[6:0] dataout;//各段数据输出

reg[1:0] en;

reg[15:0] cnt_scan;//扫描频率计数器

reg [3:0] dataout_buf;

always(posedge clk )

begin

cnt_scan<=cnt_scan+1;

end

always (cnt_scan)

begin

case(cnt_scan[15])

1'b0 :

en = 2'b10;

1'b1 :

en = 2'b01;

default :

en = 2'b11;

endcase

end

always(en) //对应COM信号给出各段数据begin

case(en)

2'b10:

dataout_buf=datain[7:4];

2'b01:

dataout_buf=datain[3:0];

default:

dataout_buf=8;

endcase

end

always(dataout_buf)

begin

case(dataout_buf)

4'b0000:dataout=7'b0111111;

4'b0001:dataout=7'b0000110;

4'b0010:dataout=7'b1011011; 4'b0011:dataout=7'b1001111;

4'b0100:dataout=7'b1100110;

4'b0101:dataout=7'b1101101;

4'b0110:dataout=7'b1111101;

4'b0111:dataout=7'b0000111;

4'b1000:dataout=7'b1111111;

4'b1001:dataout=7'b1101111;

default:

dataout=7'b0001_000;

endcase

end

Endmodule

该模块是用以将倒计时器的数字通过七段译码管显示出来。

2.4指示模块

module led(clock,key,style,light,reset);

input clock,key,style,reset; //端口定义

output [3:0]light;

reg [3:0] light =4'b1111 ;

reg clk = 1;

parameter cnt = 24000000;

reg [24:0]count;

always (posedge clk) //LED灯输出

begin

if(!reset)

light <=4'b0000;

else if (!key) //key键被按下

ight = light; //暂停

else

begin

if (light ==4'b0000) //LED灯全部点亮时,重新熄灭再准备下次循环

light =4'b1111;

end

end

endmodule

该模块是用循环彩灯来指示倒计时器是否处于工作状态。

3.各模块原理图

顶层模块原理图

计数模块

彩灯模块

分频模块

显示模块4.实际电路运行效果图

5.管脚说明和软件介绍

5.1管脚说明

5.2 软件介绍

设计中所使用软件为QuartusⅡ。QuartusⅡ是Altera公司推出的第四代开发软件,提供了方便的设计输入方式、快速的编译和直接易懂的器件编程。能够支持百万门以上逻辑门数的逻辑器件的开发,并且为第三方工具提供了无缝接

口。QuartusⅡ软件的设计流程概括为设计输入、设计编译、设计仿真和设计下载等过程。该平台支持一个工作组环境下的设计要求,其中包括支持基于Internet的协作设计。Quartus平台与Cadence、ExemplarLogic、MentorGraphics、Synopsys和Synplicity等EDA供应商的开发工具相兼容。改进了软件的LogicLock模块设计功能,增添了FastFit编译选项,推进了网络编辑性能,而且提升了调试能力。

6.总结与心得体会

这次的EDA课程设计比我想象的要难很多,原本以为一两天就可以搞定的,结果一个倒计时程序写了四五天才写出来,后面下载到硬件的时候又是各种各种的问题,让我很郁闷,曾经甚至想过要放弃!最后在郭老师的耐心指导下重新修改程序才做出来。通过这次EDA课程设计我深深的感知到对Verilog HDL 语言编程的缺乏,连一些简单的语法知识都不知道,有编译程序遇到一个错误改半天都改不对,最终通过查看相关书籍和在网上查找相关资料才得以一个个解决。经过这次教训,在以后的学习我应该认真对待每一门学科,扎实理论基础知识,只有这样在以后遇到相关问题才能轻松解决。

在这次EDA课程设计中,学到很多东西,不仅巩固了以前所学过的基础知识,而且对EDA硬件实验有了进一步的了解。通过这次课程设计使我弄懂了键盘的构造原理以及对它的扫描原理。由于第一次用Verilog语言对这种比较复杂的硬件电路编程,所以有很多地方不懂,因此必须通过查阅大量的相关资料才能弄懂,所以这期间进一步锻炼了自己查阅资料和自学的能力,学习能力得到进一步加强,对以后的学习生活打下根深基础。

总的来说,这次课程设计痛苦但有收获,虽然遇到很多问题,最后在老师的指导下和资料的指引下下都一一解决,本次课程设计充分锻炼了我们的能力,提高了自己对实践操作和理论研究的兴趣,也为明年的毕业设计做了一定的准备。

7.致谢

本论文设计在郭老师的悉心指导和严格要求下业已完成,从选题到具体的实训和写作过程,无不凝聚着老师们的心血和汗水。在我们实训期间,老师为我们提供了种种专业知识上的指导和一些富于创造性的建议,老师严谨的态度使我们深受感动,没有这样的帮助和关怀和熏陶,我们不会这么顺利的完成实训任务。在此向老师表示深深的感谢和崇高的敬意!

这次实训还要感谢郭老师的热情帮助,是他让我在短时间熟Verilog HDL源程序的编写。在硬件调试阶段,也有很多同学给予了帮助,在此谢谢郭老师。

我还要借此机会向给予我诸多教诲和帮助的各位老师表示由衷的谢意,感谢他们的辛勤栽培。不积跬步何以至千里,各位任课老师认真负责,在他们的悉心帮助和支持下,我能够很好的掌握和运用专业知识,并在实训中得以体现,顺利完成实训任务。

同时,在论文写作过程中,我还参考了有关的书籍和论文,在这里一并向有关的作者表示谢意。

我还要感谢同组的各位同学以及我的各位室友,在这段时间里,你们给了我很多的启发,提出了很多宝贵的意见,对于你们帮助和支持,在此我表示深深地感谢!

参考文献

[1] 常晓明. Verilog-HDL讲座第三讲Verilog-HDL的基本概念[J]. 今日电子. 2003(10)

[2] 祁晓磊,蔡学良,德玮. 用Verilog HDL进行FPGA设计的原则与方法[J]. 电子测试. 2008(03)

[3] 夏宇闻. Verilog HDL与逻辑系统设计[J]. 电子技术应用. 2007(09)

[4] 彭保,吴坚,于春梅,马建国.Verilog HDL语言在FPGA/CPLD开发中的应[J]. 今日电子. 2004(05)

99秒倒计时器

单片机课程设计 摘要 近年来随着计算机在社会领域的渗透,单片机的应用正在不断地走向深入,同时带动传统控制检测日新月益更新。在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,以作完善。At89s52 是一种低功耗、高性能CMOS8位微控制器,具有 8K 在系统可编程Flash 存储器。使用Atmel 公司高密度非易失性存储器技术制造,与工业80C51 产品指令和引脚完全兼容。片上Flash允许程序存储器在系统可编程,亦适于常规编程器。在单芯片上,拥有灵巧的8 位CPU 和在系统可编程Flash,使得AT89S52为众多嵌入式控制应用系统提供高灵活、超有效的解决方案。 模拟多通道压力系统是利用压力传感器采集当前压力并放映在显示器上,它可以分析压力过量程,并发出报警。并采用电子秤原理可根据输入单价准确的计算出物体的金额。本篇论文讨论了简单的倒计时器的设计与制作,对于倒计时器中的LED数码显示器来说,我为了简化线路、降低成本,采用以软件为主的接口方法,即不使用专门的硬件译码器,而采用软件程序进行译码。 关键词:AT89C51 LED数码管显示器晶体振荡器

目录 摘要..................................................................... I 第一章概述 (1) 1.1 课程设计目的 (1) 1.2 总体设计 (1) 第二章硬件电路 (2) 2.1各个元件介绍 (2) 2.1.1 AT89C51的芯片概述 (2) 2.1.2 LED数码管显示器概述 (3) 2.2 其他元器件介绍及参数选择 (6) 2.2.1 单片机的最小系统与复位电路 (6) 2.2.2显示电路的设计 (7) 第三章软件部分 (9) 3.1 相关软件介绍 (9) 3.1.1 Keil C软件 (9) 3.1.2 Proteus软件 (9) 3.2 软件设计 (10) 3.2.1 程序框图如图 (10) 3.2 .2 软件程序 (11) 第四章软件调试 (14) 4.1 系统调试工具keil c51 (14) 4.2 PROTEUS仿真 (14) 第五章电路焊接与调试 (17) 5.1 电路板的焊接 (17) 总结 (19) 参考文献 (20) 致谢 (21)

篮球竞赛24秒计时器设计-

学号: 课程设计 题目 学院 专业 班级 姓名 指导教师

年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 篮球24秒记时器的设计与制作 初始条件: (1)具备显示24秒记时功能 (2)计时器为递减工作,间隔为1S (3)递减到0时发声光报警信号 (4)设置外部开关,控制计时器的清0,启动及暂停 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~6月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

59分59秒倒计时程序及仿真显示

一、59分59秒倒计时程序: /*倒计时及显示程序,适用于寻迹小车实验板*/ #include<> #define uchar unsigned char #define uint unsigned int uchar code table[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82, 0xf8,0x80,0x90,0x88,0x83,0xc6,0xa1,0x86,0x8e};//共阳七段编码 uchar temp=0;//定义定时器溢出计数变量,每隔50ms产生1次溢出,temp加1 /*uint time=5959;//定义倒计时变量,当temp计数加20(20x50ms=1s)时,time减1 */ uchar miao=59; uchar fen=59; sbit P0_7=P0^7 ; /*--定时计数器T0及其中断初始化函数--*/ void timer0init(void) { TMOD=0x01;//设置定时器0为工作方式1 TH0=(65536-50000)/256;//16位计数初值除以256得到高8位初

值 TL0=(65536-50000)%256;//16位计数初值除以256的余数得到低8位初值 EA=1;//开总中断 ET0=1;//开定时器0中断 TR0=1;//启动定时器0 } /*----------延时函数---------------*/ void delay(uint n) { uint i,j; for(i=n;i>0;i--) for(j=124;j>0;j--); } /*定时计数器中断程序,每当定时计数器溢出时触发中断,执行该程序*/ void time0() interrupt 1 {

0-99秒计时器的单片机设计

目录 1 引言 (2) 1.1 AT89C51单片机及其引脚说明 (2) 1.1.1 AT89C51简单介绍 (2) 1.1.2 引脚说明 (3) 2系统硬件电路设计 (5) 2.1 秒计时器的设计要求 (5) 2.2 秒计时器的组成及其原理图 (5) 2.3系统板硬件连线 (6) 3 系统软件设计 (8) 3.1 源程序代码 (8) 3.2 程序流程图 (8) 3.2.1 主程序流程图 (9) 3.2.2 中断程序流程图 (10) 4 课程设计心得会 (11) 参考文献 (11) 附录A (12) 附录B (12)

1 引言 单片机是一种集成在电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计时器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)集成到一块硅片上构成的一个小而完善的计算机系统。 1.1 AT89C51单片机及其引脚说明 1.1.1 AT89C51简单介绍 AT89C51是一种带4K字节闪烁可编程可擦除只读存储器(FPEROM—Falsh Programmable and Erasable Read Only Memory)的低电压,高性能CMOS8位微处理器,俗称单片机。89C2051是一种带2K字节闪烁可编程可擦除只读存储器的单片机。单片机的可擦除只读存储器可以反复擦除100次。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的89C51是一种高效微控制器,89C2051是它的一种精简版本。89C单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 AT89C51具有如下特点:40个引脚,4k Bytes Flash片内程序存储器,128 bytes的随机存取数据存储器(RAM),32个外部双向输入/输出(I/O)口,5个中断优先级2层中断嵌套中断,2个16位可编程定时计数器,2个全双工串行通信口,看门狗(WDT)电路,片内时钟振荡器。 图1 AT89C51单片机芯片外观结构及其引脚分布

篮球24秒计时器

电子课程设计 ——篮球24秒计时器 学院:电子信息工程 专业:电子101501 姓名: 学号:201015020131 指导教师: 2012年12月

篮球24秒计时器 一设计任务与要求 (1)有显示24秒的计时功能; (2)置外部操作开关,控制计时器的直接清零,起碇和暂停\连续功能; (3)计时器为24秒递减计时器,其间隔时间为1秒; (4)计时器递减计时到零时,数码显示器不能灭灯,就发出光电报警信号。 二、总体框图 方案一:利用VHDL语言构成的24s篮球可控计时器 方案二:由各个单元模块构成的24s篮球可控计时器

从框图可知:方案一是完全利用VHDL语言编写各个模块,然后将所有模块连接进行仿真及测试;而方案二是利用已有的芯片构成相应模块,后组合连接仿真。方案二在设计中有一定的简便性且不用编写繁琐的程序,因此,以下设计采用方案二。 篮球24秒计时器,它包括秒脉冲发生器、计数器译码显示、控制电路和报警电路五部分组成。其中计数器和控制电路是电路的主要部分。计数器完成24秒计时功能。而控制电路完成电路的直接清零、启动计数、暂时/连续计数;译码显示电路的显示与亮灯和到时间启动报警功能。秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准。 注:(1)由于实验箱具备提供不同频率的脉冲,在具体设计时将省略秒脉冲发生器部分。 (2)为了能下载到实验箱验证结果,方案二的译码电路部分采用方案一的显示电路。 三、选择器件 (1)同步十进制双时钟加减计数器74LS192(以下简称74192) (2)四2输入与非门74LS00(以下简称7400) (3)EP1C12核心板白色按钮(PB0,PB1) (4)EP1C12核心板贴片二极管(LED3) (5)EP1C12核心板数码管(仅两位) 四、功能模块 (1)25进制减法计数器 此部分采用两个74192构成25进制减法计数器,具备置数和清零的功能。

数电课设篮球30秒倒计时器

目录 一论证 (2) 1.1 设计原理 1.2 设计方案 1.3 各分电路功能分析 1.3.1 计数器电路 1.3.2 脉冲发生电路 1.3.3 译码显示电路 1.3.4 控制电路 1.4 仿真原理图 电子技术课程设计任务书 题目:具有数字显示的篮球竞赛30S计时器 1.任务要求 设计一个篮球竞赛30S进攻时间限制计时器。 2.性能指标要求: (1)工作时间可在1—30S内任意设定。 (2)30S计时器是递减计时器,间隔为1S。能用LED数码管显示剩余工作时间,还能显示到1/10秒。即当计时开始时显示出所设定的总时间,每当计时10个1/10S,显示器的数字立即减1。 (3)减1计时到7S时,发出三声低音预告信号。

(4) 减1计时到零时(工作时间到),发出三声高声,并自动停止计时。 (5) 具有暂停/继续工作、清零复位和启动计时功能。 3.设计与测试 按任务要求,设计电路,计算参数,选择元器件。根据所设计电路原理图,选择所需元器件连接电路,并按照调试步骤进行调试。 4. 成果要求 (1)课程设计说明书一本。 要求:内容完整,图表完备,字迹工整,条理清晰,分析有据。 (2)所用元器件清单 (3)电路实体和仿真。 要求:电路必须有仿真结果(可利用Multisim进行电路仿真分析),该电路实体必须是自己安装调试通过并达到性能指标要求的电路实体。 参考器件:两片74LS192、74LS00,叁片74LS48,一片555、74LS04、CC40161,共阴LED显示器3块,电阻、蜂鸣器、发光二极管等 5.答辩 在规定时间内,完成叙述并回答问题。 前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做时间提醒设备等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制,但随着篮球制度的改革将会采用30秒制。有需要就会有市场,因此

99秒倒计时汇编程序

;利用中断的99秒计时器,动态扫描两位数码管;P0口接J3,数据端口 ;P2.2接J2 B端子,表示段码锁存 ;P2.3接J2 A端子,标志位码锁存 LATCH1 BIT P2.2 LATCH2 BIT P2.3 ORG 00H JMP START ORG 0BH JMP TIM0 START:MOV R3,#00 ;中断循环次数 MOV R4,#0 ;时间初值 MOV DPTR,#TABLE MOV SP,#40H MOV TMOD,#01H ;定时器工作方式 MOV TH0,#HIGH(65536-3800) MOV TL0,#LOW(65536-3800) ;初值4MS SETB TR0 MOV IE,#82H ;开中断 TIM0: MOV TH0,#HIGH(65536-3800) MOV TL0,#LOW(65536-3800) INC R3 CJNE R3,#250,X1 ;1S MOV R3,#0 MOV A,R4 ;十进制转换 MOV B,#10 DIV AB MOV 20H,B ; 个位 MOV 21H,A ; 十位 INC R4 CJNE R4,#100,LEDSCAN ;到100则清零 MOV R4,#0 LEDSCAN: CALL SCAN ;调用数码管扫描 X1: PUSH ACC PUSH PSW CALL SCAN POP PSW POP ACC RETI SCAN:

MOV A,21H MOVC A,@A+DPTR MOV P0,A SETB LATCH1 CLR LATCH1 MOV P0,#11111110B ;扫描子程序 SETB LATCH2 CLR LATCH2 CALL DELAY1 MOV A,20H MOVC A,@A+DPTR MOV P0,A SETB LATCH1 CLR LATCH1 MOV P0,#11111101B ;0代表选通该位数码管 SETB LATCH2 CLR LATCH2 CALL DELAY1 RET DELAY:MOV R5,#50 ;典型延时程序 D1: MOV R6,#40 D2: MOV R7,#248 CALL SCAN DJNZ R7,$ DJNZ R6,D2 DJNZ R5,D1 RET DELAY1:MOV R6,#4 ;扫描延时 D3: MOV R7,#248 DJNZ R7,$ DJNZ R6,D3 RET TABLE:DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH ;共阴字码表END

课程设计30秒倒计时1

目录 前言 (1) 一论证 (2) 1.1 设计原理 1.2 设计方案 1.3 各分电路功能分析 1.3.1 计数器电路 1.3.2 脉冲发生电路 1.3.3 译码显示电路 1.3.4 控制电路 1.4 仿真原理图 二课程设计总结...... 三参考文献...... 附录

电子技术课程设计任务书 题目:具有数字显示的篮球竞赛30S计时器 1.任务要求 设计一个篮球竞赛30S进攻时间限制计时器。 2.性能指标要求: (1)工作时间可在1—30S内任意设定。 (2)30S计时器是递减计时器,间隔为1S。能用LED数码管显示剩余工作时间,还能显示到1/10秒。即当计时开始时显示出所设定的总时间,每当计时10个1/10S,显示器的数字立即减1。 (3)减1计时到7S时,发出三声低音预告信号。 (4) 减1计时到零时(工作时间到),发出三声高声,并自动停止计时。 (5) 具有暂停/继续工作、清零复位和启动计时功能。 3.设计与测试 按任务要求,设计电路,计算参数,选择元器件。根据所设计电路原理图,选择所需元器件连接电路,并按照调试步骤进行调试。 4. 成果要求 (1)课程设计说明书一本。 要求:内容完整,图表完备,字迹工整,条理清晰,分析有据。 (2)所用元器件清单 (3)电路实体和仿真。 要求:电路必须有仿真结果(可利用Multisim进行电路仿真分析),该电路实体必须是自己安装调试通过并达到性能指标要求的电路实体。 参考器件:两片74LS192、一片74LS00,两片74LS48,一片555、一片74LS04、CC40161,共阴LED显示器2块,电阻、蜂鸣器、发光二极管等

plc 99秒 倒计时

《可编程序控制器原理及应用》“倒计时软件设计”说明书 一、设计目的: (1)使学生运用《可编程序控制器原理及应用》课程中的基本理论,正确设计一个典型案例的控制系统;针对控制目标,编写下位机PLC程序, 设计上位机触摸屏控制界面,解决好通信问题,实现上下位机联合控 制。 (2)培养学生自学软件的能力,逻辑思维的能力。 (3)综合训练学生应用多款软件设计用户程序,仿真验证案例准确性的能力。 二、设计要求: (1)按任务时序设计下位机PLC程序 (2)设计上位机触摸屏控制界面 (3)上下位机联合仿真 三、梯形图: 下面是已经编好的经过转换梯形图

四、触摸屏软件GT-designer2的使用: 利用此软件制作触摸屏,如下图

五、利用GTsimulator2进行仿真: 先在GX-developer中启动梯形图逻辑测试,然后用GTsimulator打开用GTdesigner制作的触摸屏,保证三个软件前后设置一致。如下图:

六、总结 通过这次的设计使我认识到本人对PLC方面的知识知道的还是很浅薄的,对于书本上的很多知识还不能灵活运用。通过本次的课题设计使我从中学到了一些很重要的东西,那就是如何从理论到实践的转化,怎样将我所学到的知识运用到我以后的工作中去。同时也锻炼了自己独立思考问题的能力和通过查看相关资料来解决问题的习惯。虽然这只是一次简单的课程设计,但通过这次课程设计我们了解了课程设计的一般步骤,和设计中应注意的问题。在大学的课堂的学习只是在给我们灌输专业知识,而我们应把所学的用到我们现实的生活中去,此次的PLC数字时钟设计给我奠定了一个实践基础,我会在以后的学习、生活中磨练自己,使自己适应于以后的竞争,同时在查找资料的过程中我也学到了许多新的知识,在和同学协作过程中增进同学间的友谊,使我对团队精神的积极性和重要性有了更加充分的理解。 在这次设计过程中,我也对word、画图等软件有了更进一步的了解,这使我 在以后的工作中更加得心应手。

60秒计时器

单片机课程设计说明书 单片机课程设计说明书 题目: 00—60秒表设计学院:机电工程学院 专业:机械设计制造及其自动化学生姓名: xxx 学号: xxx 指导教师单位: xxx 姓名: xxx 2013年12月13日

摘要 60秒计时器以单片机为核心,由计时器,控制器等组成。系统采用模块化设计,主要分为计时器显示模块和按键控制模块。每个模块的程序结构简单,任务明确,易于编写、调试和修改。编程后利用Kcil软件来进行编译,在生成HEX文件装入芯片中,在通过调试实现60s计时功能。本设计中系统硬件电路主要是由以下几个部分组成:单片机AT89C51、振荡电路、显示电路和按键开关。该系统具有60s内准确计时和计时清零的功能。 关键字:单片机,计时,显示,60s计时,复位清零

前言 我们的任务是设计60s秒表计时器,用AT89C51单片机的定时/计数器T0产生一秒的定 时时间,作为秒计数时间,当一秒产生时,秒计数加1,秒计数到60时,自动从0开始,实现0到60秒的循环显示的功能。 现代计时器是用数字集成电路做成的现代计时器,与传统的机械钟相比,走时准确、显示直观(有荧光七段数码显示器)、无机械传动装置等优点。而且钟表的数字化给人 们生产生活带来了极大的方便。广泛用于个人家庭,车站,码头、办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英振荡器的广泛 应用,使得数字计时表的精度远远超过老式钟表,钟表的数字化给人们生产生活带来 了极大地方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、校时自 动打铃、时间程序自动控制、定是广播、自动启闭路灯、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字计时器及扩大其应用有着非常现实的意义。 一.概述 1.1课程设计的任务与目的 课程设计任务: 用AT89S51单片机的定时/计数器T0产生一秒的定时时间,作为秒计数时间,一秒产生时,秒计数加1,秒计数到60时,自动从0开始。额外拓展,一 个按键,实现从0开始重新计时。 课程设计目的: 课程设计是单片机课程教学的最后一个环节,是对学生进行全面的系统的 训练,进行课程设计可以让学生把学过的比较零碎的知识系统化,真正的能够把学过的知识落到实处,能够开发简单的系统,也进一步激发了学生再深一步 学习的热情,因此课程设计是必不可少的,是非常必要的。 课程设计是提高学生单片机技术应用能力以及文字总结能力的综合训练环节,是配合单片机课程内容掌握应用得的专门性实践类课程,通过典型实际问题的 实际,训练学生的软硬件的综合设计、调试能力以及文字组织能力,建立系统 设计概念,加强工程应用思维方式的训练,同时对教学内容做一定的扩充。 通过课程设计,使自己深刻理解并掌握基本概念,掌握单片机的基本应用程序设计及综合应用程序设计的方法,通过做一个综合性训练题目,达到对内容 的消化、理解并提高解决问题的能力的目的。 1.2、总体方案设计

数电课程设计篮球24秒倒计时

目录 前言 ............................................ 错误!未定义书签。第一章计时器 ................................................ - 2 - 1.1篮球竞赛24秒计时器功能................................ - 2 - 1.2 设计任务及要求 (2) 1.2.1基本要求 (2) 1.2.2 设计任务及目标..................................... - 2 - 1.2.3 主要参考器件:..................................... - 3 - 第二章电路设计原理与单元模块 .. (3) 2.1 设计原理 (4) 2.2 设计方案 (4) 2.3 单元模块 (4) 2.3.1 8421BCD码递减计数器模块 (5) 2.3.2 时钟模块 (7) 2.3.3 辅助时序控制模块 (8) 第三章实验体会 (10)

第一章计时器概述 1.1篮球竞赛24秒计时器功能 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 1.2 设计任务及要求 1.2.1基本要求 1. 具有24秒计时功能。 2. 设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3. 在直接清零时,要求数码显示器灭灯。 4. 计时器为24秒递减时, 计时间隔为1秒。 5. 计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。1.2.2 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能;

99秒计时 99秒倒计时中断触发定时器

99秒计时+99秒倒计时(中断触发定时器) ___________________________________________ 功能:99秒计时 时间2010—7—18 ___________________________________________ #include code unsigned char tab[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x 7f,0x6f}; unsigned char Dis_Shiwei; unsigned char Dis_Gewei; void delay(unsigned int cnt) { while(--cnt); } main() { TMOD |=0x01; //10ms in 12M crystal,工作在 模式一,16位定时器 TH0=0xd8;

TL0=0xf0; IE= 0x82; //打开中断 TR0=1; //打开定时开关 while(1) { P0=Dis_Shiwei; P2=0; delay(300); P0=Dis_Gewei; P2=1; delay(300); } } void tim(void) interrupt 1 using 1 { static unsigned char second,count; TH0=0xd8; TL0=0xf0; count++; if (count==100) //100x10ms { count=0;

second++; if(second==100) second=0; Dis_Shiwei=tab[second/10]; Dis_Gewei=tab[second%10]; } } /* 定时器设定为:TH0=0xd8;TL0=0xf0;经确定时10ms。从程序开始执行,每当主程序中的16位数发生溢出则触发中断,主程序保护现场并调用中断子程序,也可以写为:TH0=(65536-10000)/256;TL0=(65536-10000)%256。秒脉冲的产生要求精确定时1s,实际情况可能有延误。 1 0000 0000 0000 0000 1101 1000 1111 0000 ———————————— 0010 0111 0001 0000 Time=16(1+16+32+64+512)=16*625=10000us=10ms

课程设计--篮球竞赛24秒计时器

课程设计--篮球竞赛24秒计时器

一、课题名称 二、内容摘要 本设计主要是完成篮球竞赛24秒计时器,显示24秒倒计时功能,系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器全部显示为“0”;计时器为24秒递减计时,其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于Multisim 10.0.1仿真软件和数字逻辑电路相关理论知识,并在Multisim 10.0.1下设计和进行仿真,得到了预期的结果。 关键字:计时器;数码显示器;Multisim 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。

三、设计内容及要求 1.2.1基本要求 (1)显示24秒计时功能。 (2)控制计时器直接清零、启动、暂停/连续功能。 (3)计时器为24秒递减计时器。 (4)递减计时到零时,显示器不能灭灯,同时发出光电报警信号。 1.2.2 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能; (3)进行电路的装接、调试,直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告。 四、方案论证及比较 本设计的核心部分是要设计一、 个24s倒计数器,并且对计数结果进行实时显示,同时要实现设计任务中提 到的各种控制要求,因此该系统包括秒脉冲发生电路,计数器电路,译码显示电路,控制电路和电路报警电路5部分。其中,计数器电路和控制电路时系统的主要部分。计数器电路完成24s倒计时功能,而控制电路具有直接控制计

单片机控制数码管显示99.99数字秒表,带记忆,倒计时,置数功能

#include #include #define uchar unsigned char #define uint unsigned int uchar code dis_r[11]={~0xc0,~0xf9,~0xa4,~0xb0, ~0x99,~0x92,~0x82,~0xf8,~0x80,~0x90, ~0xff}; uchar code dis_b[4]={0x20,0x10,0x08,0x04}; uint dis_s[35]; uchar dis_buf[4]; uchar code dis_l[9]={0xf6,0xf5,0xf4,0xf3,0xf2,0xf1,0xf0}; uchar dis_t; uchar a,b,c; uchar key1_times,key4_times; uint doc,n; void update_disbuf(); void proc_key(); void daojshi(); void store(); void read_store(); void delay(uint ms); sbit K1 = P1^7; sbit K2 = P1^6; sbit K3 = P1^5; sbit K4 = P1^4; sbit M=P1^3; sfr P2M0=0x95; sfr P2M1=0x96; sfr P3M0=0xB1; sfr P3M1=0xB2; void main(void) { P2M0=0x00; P2M1=0xff; P3M0=0x00; P3M1=0xff; M=0; P2 = 0x3F; P3 = 0xFF; TMOD = 0x11; TH1 = (65536-50000)/256; TL1 = (65536-50000)%256; TH0 = (65536-1000)/256; TL0 = (65536-1000)%256; update_disbuf();

篮球24秒倒计时器设计

湖南工业大学 课程设计 资料袋 电气与信息工程学院(系、部)2016~2017 学年第 1 学期课程名称电子技术课程设计指导教师黄卓冕职称讲师 学生姓名谢富专业班级电气工程1401 学号14401300114 题目篮球比赛24秒计时器设计 成绩起止日期2016 年11 月14 日~2016 年11 月18 日 目录清单

湖南工业大学 课程设计任务书 2016年~2017学年第1 学期 电气与信息工程学院(系、部)电气工程专业1401 班级课程名称:电子技术课程设计 设计题目:篮球比赛24秒计时器设计 完成期限:自2016 年11 月14 日至2016 年11 月18 日共 1 周

安 排 2016.11.15--17 学生进行设计 2015.11.18 学生修改、打印设计报告 主要参考资料[1] 康华光电子技术基础模拟部分(第五版)高等教育出版社 2007年 [2] 欧伟明. 实用数字电子技术. 北京:电子工业出版社,2012 [3] 陆应华. 电子系统设计教程. 北京:国防工业出版社,2005 [4] 李忠波等. 电子技术仿真与实践. 北京:机械工业出版社,2004 指导教师(签字):年月日 系(教研室)主任(签字):年月日 电子技术课程设计 设计说明书 起止日期:2016 年11 月14 日至2016 年11 月18 日篮球比赛24秒计时器设计

学生姓名谢富 班级电气工程1401 学号14401300114 成绩 指导教师(签字) 电气与信息工程学院(部) 篮球比赛24秒计时器设计 设计目的及要求 一、设计要求 (1)设计指标 1、具有24秒计时功能。 2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3、在直接清零时,要求数码显示器灭灯。 4、计时器为24秒递减时, 计时间隔为1秒。 5、计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。 6、将24秒递减计时器改为24秒递增计时器,试问电路要作哪些相应的改动。 它包括秒脉冲发生器、计数器、译码显示电路、辅助时序控制电路(简称控制电路)和报警电路等五个部分组成。其中计数器和控制电路是系统的主要部分。计数器完成24秒计时功能,而控制电路是控制计时器的直接清零、启动计数和暂停/连续计数、译码显示电路的显示和灭灯等功能。 为保证系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系: 1.操作直接清零开关时,要求计数器清零,数码显示器灭灯。 2.当启动开关闭合时,控制电路应封锁时钟信号CP(秒脉冲信号),同时计数器完成置数功能,数码显示器显示24秒

数电课程设计-30秒倒计时器

. 定时电路的设计 ——数字逻辑课程设计 学院:计算机学院 专业班级:计科13

时间:2015年1月4日 目录 设计要求 (3) 正文 一、倒计时器组成及原理 (3) 1.1倒计时计数器组成 (3) 1.2工作原理 (3) 二、拟定设计方案 (4) 2.1用Multisim进行仿真设计 (4) 2.2设计实现数码管显示 (4) 2.3设计555定时振荡实现秒振荡发生功能 (4) 2.4设计实现减法计数功能 (5) 2.5设计实现二位数减法计数功能 (5) 2.6设计实现反馈电路实现30秒计数功能 (5) 2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电路 (5) 2.7.1清零/复位电路 (5) 2.7.2暂停/继续计数电路 (6) 2.7.3启动电路 (7) 2.8设计实现闪烁报警电路 (8) 三、功能说明总结 (9) 四、课程设计小结 (9) 参考文献 (10) 附录: 一、电路原理图 (11) 二、元器件明细表 (11)

设计要求: 设计30秒倒计时计数器。 30秒倒计时器的设计功能要求包括: 1.具有30S减计时功能,计时时间到后发出声光报警信号(点亮LED,喇叭鸣叫); 2.计时时间精确(用石英振荡器产生秒信号); 3.用数码管显示剩余时间; 4.具有复位、启动、暂停、继续等操作按钮; 正文: 一、倒计时器组成及原理 1.1倒计时计数器组成 倒计时计数器选用TTL集成电路,主要由秒定时振荡发生器、减法计数器、译码器、七段数码显示器、控制电路、闪烁报警电路等组成,在电路工作过程中,电路能够通过控制器实现开始计数、清零/复位、暂停/继续计数等功能,在倒计时结束保持00状态并不断闪烁提示报警,原理图如下: 图1 1.2工作原理 当电路工作时,由555定时器组成多谐振荡器,选取适当的电容使振荡周期为1s;用两片减法计数器芯片级联组成二位数计数器,用七段数码管显示计数;控制电路通过控制减

篮球24秒倒计时器报告

电子课程设计报告 题目名称:篮球竞赛30秒倒计时器 姓名: 专业: 班级: 学号: 同组人: 指导老师: 南昌航空大学电子信息工程学院 二零零八年九月

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

基于74LS192的30秒倒计时设计_____哈工大电工实验

姓名#### 班级######## 学号####### 实验日期2015.5.26 节次5—6 教师签字成绩 基于74LS192的30秒倒计时设计 1.实验目的 1)掌握555定时器的功能; 2)了解74LS192减法计数器的功能; 3)掌握RS触发器在消除开关抖动中的应用; 4)掌握调试电路的方法; 5)掌握电路设计的基本思想和流程。 2.总体设计方案 1)30进制计数器的设计 本实验采用74LS192芯片作为计数器,74LS192是同步的加减计数器,其具有清除和置数的功能。本实验选择两片74LS192作为分别作为30的十位和个位。本实验中将作为十位的计数器输入端置为0011而将个位的输入端置为0000。将两片74LS192的置数端连出来与开关B相连,开关B控制置数端与高电平还是低电平,从而实现当30倒计时到00时,通过手动操作开关B而可以重新开始倒计时,计数器的电路连接如下图所示: 图1:计数器电路设计 2)T=1s的时间脉冲的设计 本实验采用由555定时器组成的多谐振荡器来产生周期为1s的时间脉冲,从而为30秒倒计时提供了脉冲输入。这里取R1=51kΩ,R2=47 kΩ,C=10μF。 由于震荡周期T≈0.7(R1+2R2)C=0.7×(51kΩ+2×47 kΩ)×10μF=1.015s,显然这样的设计是符合实验要求的。

图2:555定时器组成的多谐振荡器 3)RS触发器控制电路设计 将RS触发器应用到开关电路中能很好的对30秒倒计时进行控制。当B开关打到右侧时,无论A开关打到哪侧,倒计时均未开始;当B开关打到左侧时,A开关打到右侧开始倒计时,A开关打到左侧暂停倒计时。 图3:RS开关控制电路 3.实验电路图 运用Multisim13绘制的实验电路图如下所示:

篮球24秒倒计时器课程设计报告

厦门城市学院 2014-2015学年第一学期 《EDA软件设计》 ——课程设计论文 课题:篮球比赛24秒倒计时器的设计与仿真分析 所在系部:电子信息与工程系 年级:11级 班级:电信Z1 姓名:林鑫豪 学号:W140242131 提交时间:2015 年 1 月8 日

一、设计题目 篮球比赛24秒倒计时器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、具体要求 (1)具有显示24s 倒计时功能:用两个共阴数码管显示,其计时间隔为1s。 (2)分别设置启动键和暂停/继续键,控制两个计时器的直接启动计数,暂停/继续计数功能。 (3)设置复位键:按复位键可随时返回初始状态,即进攻方计时器返回到24s。 (4)计时器递减计数到“00”时,计时器跳回“24”停止工作,并给出声音和发光提示,即蜂鸣器发出声响和发光二极管发光。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成:

1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

相关文档
最新文档