三位电子密码锁

三位电子密码锁
三位电子密码锁

EDA课程设计报告

报告名称:电子密码锁

院系:工学院

专业:通信工程

学号: 201301030303

姓名:蔡官耀

指导老师:杨永福

EDA课程设计蔡官耀

目录

一、概述 (2)

二、设计要求 (2)

三、设计框图 (3)

四、功能模块 (4)

4.1 输入模块 (4)

4.2 控制模块 (6)

4.3扫描器部 (8)

4.4二四译码器 (10)

4.5显示模块 (10)

五、总体设计电路图 (11)

六、心得体会 (14)

三位密码锁2013010303

一、概述

电子密码锁在生活中十分常见,在这我将设计一个具有较低成本的电子密码锁,本文讲述了我整个设计过程及收获。讲述了电子密码锁的的工作原理以及各个模块的功能,并讲述了所有部分的设计思路,对各部分电路方案的选择、元器件的筛选、以及对它们的调试、对波形图的分析,到最后的总体图的分析。

二、设计要求

本设计名称为电子密码锁,用四个模块,分别为输入模块、控制模块、扫描器模块、显示模块,来控制密码的输入、验证与显示。

设计所要实现的功能为:

1 数码输入:手动输入3组四位二进制密码。

2 数码验证:开锁时输入密码后,拨动 RT键使其为高电

平,而CHANGE为低电平检测,密码正确时开锁,输出clockopen灯(绿灯)亮,表示开锁成功。

3 错误显示:当密码输入错误时,clockcolse灯(红灯)

亮,表示开锁失败。

4 更改密码:当改变密码时,按下CHANGE键使其为高电

平,而RT为低电平时,可改变密码。

5 密码清除:按下RST可清除前面的输入值,清除为“000”。

EDA课程设计蔡官耀

三、设计框图

1)设计方案:

电子密码锁,主要由三部分组成:密码输入电路、密码锁控制电路和密码锁显示电路。

作为电子密码锁的输入电路,可选用的方案有拨码与按键来控制输入和触摸式键盘输入等多种。拨码与按键和触摸式4*4键盘相比简单方便而且成本低,构成的电路简单,本设计中采用拨码与按键来作为该设计的输入设备。

数字电子密码锁的显示信息电路可采用LED数码显示管和液晶屏显示两种。液晶显示具有高速显示、可靠性高、易于扩展和升级的特点,但是普通的液晶存在亮度低、对复杂环境适应能力差的特点,但是在本设计中任然使用LED数码管。

根据以上选定的输入设备与与显示器件,并考虑到现实各项密码锁功能的具体要求,与系统的设计要求,系统设计采用自顶向下的设计方案。整个密码锁系统的总体总体框图如下图。

三位密码锁2013010303

电子密码锁系统总体框图

四、功能模块

4.1 输入模块

1)功能介绍

输入3组四位二进制密码,代表3为密码,每一组的取值从0000到1001

2)输入模块与实物图

当按下rst键清除密码,清除为“000”此时如图输入密码

EDA课程设计蔡官耀

“000”rt为高电平,change为低电平检测密码,密码正确,此时锁打开,绿灯亮。

而后随意改变密码输入值如“222” rt为高电平,change 为低电平检测密码,密码不正确,开锁失败,红灯亮。

3)程序输入

程序如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY SR IS

PORT (PUL1,PUL2,PUL3:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

Q1,Q2,Q3:OUT

STD_LOGIC_VECTOR(3 DOWNTO 0));

END SR;

ARCHITECTURE BEHAVE OF SR IS

SIGNAL TEMP:STD_LOGIC;

BEGIN

PROCESS(PUL1,PUL2,PUL3)

BEGIN

-- IF M'EVENT AND M='1' THEN

--IF PUL='1' THEN

CASE PUL1 IS

WHEN "0000"=>Q1<="0000";

WHEN "0001"=>Q1<="0001";

WHEN "0010"=>Q1<="0010";

WHEN "0011"=>Q1<="0011"; WHEN "0100"=>Q1<="0100"; WHEN "0101"=>Q1<="0101"; WHEN "0110"=>Q1<="0110"; WHEN "0111"=>Q1<="0111"; WHEN "1000"=>Q1<="1000"; WHEN "1001"=>Q1<="1001"; WHEN OTHERS=>Q1<="0000"; END CASE;

CASE PUL2 IS

WHEN "0000"=>Q2<="0000"; WHEN "0001"=>Q2<="0001"; WHEN "0010"=>Q2<="0010"; WHEN "0011"=>Q2<="0011"; WHEN "0100"=>Q2<="0100"; WHEN "0101"=>Q2<="0101"; WHEN "0110"=>Q2<="0110"; WHEN "0111"=>Q2<="0111"; WHEN "1000"=>Q2<="1000"; WHEN "1001"=>Q2<="1001"; WHEN OTHERS=>Q2<="0000";

三位密码锁2013010303

END CASE;

CASE PUL3 IS

WHEN "0000"=>Q3<="0000"; WHEN "0001"=>Q3<="0001"; WHEN "0010"=>Q3<="0010"; WHEN "0011"=>Q3<="0011"; WHEN "0100"=>Q3<="0100"; WHEN "0101"=>Q3<="0101"; WHEN "0110"=>Q3<="0110"; WHEN "0111"=>Q3<="0111"; WHEN "1000"=>Q3<="1000"; WHEN "1001"=>Q3<="1001"; WHEN OTHERS=>Q3<="0000"; END CASE;

-- TEMP<='1';

--ELSE TEMP<='0';

--END IF;

--END IF;

END PROCESS;

--Q<=TEMP;

END BEHAVE;

4.2 控制模块

1)功能介绍

开锁时输入密码后,拨动 rt键使其为高电平,而change为低电平检测,密码正确时开锁,输出clockopen灯(绿灯)亮,表示开锁成功。当密码输入错误时,clockclose灯(红灯)亮,表示开锁失败。当改变密码时,按下change键使其为高电平,而rt 为低电平时,可改变密码。按下RST可清除前面的输入值,清除为“000”。

EDA课程设计蔡官耀

2)控制模块

当change为高电平且rt为低电平时开始输入密码,改变密码的值,如下图开始密码改为“311”,当rt为高电平,change 为低电平时开始检测密码,绿灯亮,表示密码更改成功,新密码为“311”。

而后随意改变密码的输入值如图“000”,拨动rt高电平,change为低电平检测密码,密码不正确,开锁失败,红灯亮。

3)其程序如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY CONTROL IS

PORT(NB:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

NS:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

NG:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

CLK:IN STD_LOGIC;

CHANGE,RT: IN STD_LOGIC;

SB:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

SS:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

SG:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

LOCKOPEN,LOCKCLOSE:OUT STD_LOGIC);

END ENTITY CONTROL;

ARCHITECTURE ART OF CONTROL IS

SIGNAL ENABLE,ENABLE1:STD_LOGIC;

SIGNAL

DA,DB,DC:STD_LOGIC_VECTOR(3

三位密码锁2013010303

DOWNTO 0);

BEGIN

ENABLE<=CHANGE AND(NOT RT);

ENABLE1<=RT AND(NOT CHANGE);

PROCESS(CLK,NB,NS,NG) IS

BEGIN

IF CLK'EVENT AND CLK='1' THEN

IF ENABLE='1' THEN

DA<=NB;

DB<=NS;

DC<=NG;

LOCKOPEN<='0';

LOCKCLOSE<='1';

elsif ENABLE1='1' THEN

IF ( DA=NB AND DB=NS AND DC=NG) THEN

LOCKOPEN<='1';

LOCKCLOSE<='0';

ELSE

LOCKOPEN<='0';

LOCKCLOSE<='1';

END IF;

END IF;

END IF;

--END IF;

SS<=NS;

SG<=NG;

SB<=NB;

END PROCESS;

END ARCHITECTURE ART;

4.3扫描器部

其功能是从控制部分的3个输出中选择出一组四位二进制送往译码器,同时把扫描信号SEL送往二四译码器

1)控制模块:

EDA课程设计蔡官耀2)其程序如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY sel IS

PORT(QIN1,QIN2,QIN3:IN

STD_LOGIC_VECTOR(3 DOWNTO 0);

CLK,RST:IN STD_LOGIC;

QOUT:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

sel:OUT STD_LOGIC_VECTOR(1 DOWNTO 0)); END sel;

ARCHITECTURE ART OF sel IS

BEGIN

PROCESS(CLK,RST)

VARIABLE CNT:INTEGER RANGE 0 TO 2; BEGIN

IF (RST='0') THEN

CNT:=0;

sel<="00";

QOUT<="0000"; ELSIF CLK'EVENT AND CLK='1' THEN IF CNT=2 THEN

CNT:=0;

ELSE

CNT:=CNT+1;

END IF;

CASE CNT IS

WHEN 0=>QOUT<=QIN1;

sel<="00";

WHEN 1=>QOUT<=QIN2;

sel<="01";

WHEN 2=>QOUT<=QIN3;

sel<="10";

WHEN OTHERS=>QOUT<="1111"; sel<="11";

END CASE;

END IF;

END PROCESS;

END ARCHITECTURE;

三位密码锁2013010303

4.4二四译码器

1)控制模块

2)其程序如下:

--DECODER24A.VHD

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY DECODER24A IS

PORT(A:IN STD_LOGIC_VECTOR(1 DOWNTO 0);

B:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END ENTITY DECODER24A; ARCHITECTURE ART OF DECODER24A IS BEGIN

PROCESS(A)IS

BEGIN

CASE A IS

WHEN "00"=>B<="0001";

WHEN "01"=>B<="0010";

WHEN "10"=>B<="0100";

WHEN OTHERS=>B<="1111"; END CASE;

END PROCESS;

END ARCHITECTURE ART;

4.5显示模块

1)功能介绍

将密码用BCD七段数码管显示

2)显示模块如下图:

EDA课程设计蔡官耀3)程序如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY SEG7 IS

PORT(num:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

LED:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));

END SEG7;

ARCHITECTURE ACT OF SEG7 IS

BEGIN

LED<="1111110"WHEN num="0000"ELSE "0110000"WHEN num ="0001"ELSE

"1101101"WHEN num ="0010"ELSE

"1111001"WHEN num ="0011"ELSE

"0110011"WHEN num ="0100"ELSE

"1011011"WHEN num ="0101"ELSE

"1011111"WHEN num ="0110"ELSE

"1110000"WHEN num ="0111"ELSE

"1111111"WHEN num ="1000"ELSE

"1111011"WHEN num ="1001"ELSE

"1110111"WHEN num ="1010"ELSE

"0011111"WHEN num ="1011"ELSE

"1001110"WHEN num ="1100"ELSE

"0111101"WHEN num ="1101"ELSE

"1001111"WHEN num ="1110"ELSE

"1000111"WHEN num ="1111"; END ARCHITECTURE ACT;

五、总体设计电路图

1)功能介绍

将各个模块连接在一起实现。

三位密码锁2013010303

EDA课程设计蔡官耀引脚分配图如下:

三位密码锁2013010303

六、心得体会

通过这次设计,使我对EDA产生了浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。在编写扫描模块时,我遇到了很大的困难,一直被定时问题所困扰,解决了这个问题时,我特别的高兴。写控制文件的程序时,也遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了。其次,在进行引脚连接时一定要细心,有些引脚不能使用,我因为没注意使得开始时一直不能得到正确的结果。这次EDA课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到的问题,反映出来我的许多不足之处,我以后要努力克服缺点。

总的来说,这次设计的密码锁还是比较成功的,在设计中遇到了很多问题,最后在队友和老师的辛勤的指导下外加上自己的努力,终于都得到了解决,因此很有成就感,终于觉得平时所学

EDA课程设计蔡官耀

的知识有了实用的价值,达到了理论与实际相结合的。

四位数字密码锁

数字电路基础实验设计报告班级:521 姓名:李世龙 学号:2010052106 设计题目:四位数字密码锁 指导老师:张光普

四位密码锁 一设计任务:通过组合逻辑电路来实现四位密码锁功能。当输入正确的密码时LED灯亮但蜂鸣器不响,输入错误密码时LED灯不亮但蜂鸣器响。当关闭密码锁开关时无论输入什么样的密码LED灯都亮蜂鸣器都响。密码的输入由开关的闭合与断开控制高低电平进行密码的输入。 二设计原理: 开关J1,J2, J3,J4通过接低电平或者接入高电平进行密码的输入,J5为密码锁的开关当它接入高低电平来控制密码锁是否工作。其他的门电路来实现密码锁转换功能。

真值表 J5 J1 J2 J3 J4 LEDBUZZE R 0 ×××× 1 1 1 0 0 0 0 0 1 1 0 0 0 1 0 1 1 0 0 1 0 0 1 1 0 0 1 1 01 1 0 1 0 0 0 1 1 0 1 0 1 0 1 1 0 1 1 0 0 1 1 0 1 1 1 0 1 1 1 0 0 0 0 1 1 1 0 0 1 0 1 1 1 0 1 0 0 1 1 1 0 1 1 0 1 1 1 1 0 0 10 1 1 1 0 1 0 1 1 1 1 1 0 0 1 1 1 1 1 1 0 1 三测量和调试结果: 当J5接入电平密码锁处于非工作状态无论输入密码是什么LED灯都会亮起,蜂鸣器会一直响。当J5接入高电平时密码锁处于正常工作状态,当输入正确的密码1100时即J1,J2接入高电平J3,J4接入低电平时LED灯会亮但蜂鸣器不会响,当输入其他密码时均为错误密码LED灯不亮蜂鸣器会响。 按照设计原理图接好电路后发现输入正确的密码LED灯会亮,但输入错误的密码和密码锁处于非工作状态时蜂鸣器不响。通过对电路的检查发现蜂鸣器的正负接反了重新改正电路,电路正常工作 设计任务完成 四参考文献

电子密码锁使用说明

基于51单片机的简易电子密码锁 使用说明 一、实现功能: 1、设置6位密码,密码通过键盘输入,若密码正确,则将锁打开。 2、密码可以由用户自己修改设定(只支持6位密码),锁打开后 才能修改密码。修改密码之前必须再次输入密码,在输入新密 码时候需要二次确认,以防止误操作。 3、报警、锁定键盘功能。密码输入错误显示器会出现错误提示, 若密码输入错误次数超过3次,蜂鸣器报警并且锁定键盘。 4、AT24C02保存密码,支持复位保存,掉电保存功能。 二、按键说明 按键定义图

如图示:采用4X4键盘输入,键盘对应名称如下: 1 2 3 A 4 5 6 B 7 8 9 C * 0 # D 其中,【0—9】为数字键,用于输入相应的密码, 【*】号键为取消当前操作 【#】号键为确认 【D】键为修改密码 其它键无功能及定义 三、作用说明: 密码锁初始密码为:000000. 1、开锁:插上电源后,程序自动调入初始密码,此时依次输 入:000000,然后按【#】(确认)键,此时锁会打开,可以 看到显示open,密码锁打开。(如为自己焊接,请首次使用 输入:131420,对密码进行初始化,当显示出现:initpassword, 证明密码初始化完成,此时初始密码即为:000000)。 2、退出并关锁:按下【*】(取消)键,此时锁关闭,所有输 入清除。 3、修改密码:在开锁状态下,再次输入正确的密码并按下【#】 (确认)键,此时听到两声提示,输入新的六位密码并按【D】 (重设)键,再重复输入一次新密码并按【D】,会听到两

声提示音,表示重设密码成功,内部保存新密码并存储到AT24C02。(如两次输入的新密码不一样,则重设密码失败)。 4、报警并锁定键盘:当输入密码错误后,报警并锁定键盘3 秒,如3秒内又有按键,3秒再启动。 5、当重置新密码时,新密码会保存于AT24C02存储器里。 有任何问题请与我联系: QQ:331091810 E_mail:331091810@https://www.360docs.net/doc/e96470331.html, 旺旺ID:j_yongchao2008 淘宝店址:https://www.360docs.net/doc/e96470331.html,/

基于FPGA的四位电子密码锁设计与实现 专业综合实训报告

基于FPGA的四位电子密码锁设计与实现 摘要: 针对于传统密码锁安全性能低及可靠性差等问题,立足于芯片中数据存储的保密性,运用EDA技术自顶向下的设计方法,提出了基于FPGA的四位电子密码锁的设计与实现方法,所设计的四位电子密码锁具有解锁、密码修改、报警提示及液晶显示功能。设计分析与仿真结果表明,基于FPGA所设计的四位电子密码锁保密性更高、灵活性更好,使得数据存储的整体可靠性增强,为提高数据的保密性提供了新的解决方案。 关键词:密码锁;FPGA;保密性;EDA技术; Design and Implementation of 4-Bit Electronic Cipher Lock Based on FPGA WANG Guo-qiang LI Shang-fu WANG Fei XIE Li-li WANG Qin SUN Bai School of Electronic Engineering,Heilongjiang University; Abstract: Traditional lock faces safety problem of low performance and poor reliability. Based on the data stored in the chip of confidentiality,and using EDA technology top-down design approach,we proposed the design and implementation of a 4-bit FPGA-based electronic lock,which provides unlock,passwordchange,alarm and LCD functions.Design analysis and simulation results show that the designed FPGA-based electronic lock provides better confidentiality,flexibility and reliability for data storage.This approach provides a novel solution for improving data confidentiality. Keyword: Coded lock;FPGA;Confidentiality;EDA technology; 0 引言 随着时代的发展,人们生活水平逐步提高,同时安全意识也日益增强,如何实现家庭防盗这一问题就尤其突出。传统的机械锁由于其构造简单,锁芯直接外露,导致被撬的事情比比皆是。因此,随着电子技术工业的发展,数字电子技术已经深入到人们的日常生活中,层出不穷的电子产品也向着高端方向发展,电子密码锁也就应运而生,在生活安全领域,具有防盗、报警功能的电子密码锁完全打破了机械密码锁的密码量少和安全性差的缺点[1]。 电子密码锁的复杂性,需要设计专门的电子电路和技术,许多相关研究机构和组织的科研人员进行了大量研究。目前该领域的研究主要集中在如何使电子密码锁体积缩小、可靠性

智能锁方案 指纹锁解决方案

智能锁方案指纹锁解决方案 智能锁是指区别于传统机械锁,在用户识别、安全性、管理性方面更加智能化的锁具。门禁系统中锁门的执行部件。在安全技术防范领域,具有防盗报警功能的电子密码锁代替传统的机械式密码锁,克服了机械式密码锁密码量少、安全性能差的缺点,使密码锁无论在技术上还是在性能上都大大提高一步。随着大规模集成电路技术的发展,特别是单片机的问世,出现了带微处理器的智能密码锁,它除具有电子密码锁的功能外,还引入了智能化管理、专家分析系统等功能,从而使密码锁具有很高的安全性、可靠性,应用日益广泛。 智能锁系统软件的应用和前景 智能密码锁软件采用51系列单片机汇编语言对智能监控器和电子锁具分别编程。智能监控器软件包括键盘扫描和LCD显示程序、蜂鸣器驱动程序、时钟修改和读取程序、数据通信与预处理程序、智能化分析程序及线路监测程序等模块。电子锁具软件包括键盘扫描与译码程序、LCD显示程序、通信程序、电磁执行器驱动及检测程序、传感器接口程序等模块。软件设计过程中采用模块化设计方法,便于程序的阅读、调试和改进。智能密码锁充分利用了51系统单片机软、硬件资源,引入了智能化分析功能,提高了系统的可靠性和安全性。通过在某型号保险柜安装使用,受到用户的欢迎。另外,智能密码锁在软、硬件方面稍加改动,便可构成智能化的分布式监控网络,实现某一范围内的集中式监控管理,在金融、保险、军事重地及其它安全防范领域具有广泛的应用前景。 智能锁的三大解决方案 基于WIFI、GSM、蓝牙网络;目前基于WIFI、GSM网络或者蓝牙的智能门锁是国内外的主流选择。这也是目前智能门锁基础的解决方案。为了防止出现手机被盗刷或者被恶意攻破的情况,这些门锁选择依旧需要传统钥匙开锁,但钥匙具备智能芯片,每次开门都会通过微信、短信的方式提醒用户。与Yale Lock类似,某国产解决方案也采用了GSM数据网络的方式,因为GSM数据网络可以走加密路线,相比WIFI等方案更为安全。为了保证安全,该方案还拥有独立的后台与云端,能够与手机连接,帮助用户通过手机及时接受和随时查询家人进出门信息,并具备远程报警。基于指纹识别生物特征;指纹门锁的思路是利用人体生物特征指纹进行身份安全识别认证开门,凭借高科技的数字图像处理、生物识别及DSP算法等技术,成为符合现代安防要求的新一代门禁系统。目前,指纹锁已经广泛运用于政府机构、军事基地、银行、公寓等需要安全和隐私的场所。旧时王谢堂前燕,飞入寻常百姓家。过去运用在相关机密场所的指纹门锁如今随着部分厂商的技术攻关也逐渐运用在了普通人群的生活之中。人脸识别和虹膜识别;随着阿里巴巴、腾讯等在金融产品中使用人脸识别,这一技术将上升到一个新高度。数据显示,2012年我国人脸识别行业市场规模约16.7亿元,预计到2015年,我国人脸识别行业市场规模将达到75亿元,未来潜在的市场规模将超过千亿。具有人脸识别概念的公司有佳都科技、汉王科技等。 在智能大潮的推动下,国内外已经在思索门锁的新出路。基于网络和蓝牙的智能门锁、基于指纹识别的指纹门锁乃至是人脸识别、虹膜识别的门锁成为了选择。这三种解决方案中,基于网络和蓝牙的智能门锁相对保守,而指纹、人脸、虹膜的方案则相对激进。这三大解决方案的谈不上哪家更强,实际上各个厂商在技术和现实的层面上有各有思虑,都具备较强的合理性。目前智能锁的应用已经得到了广范的应用,可以说得上是家喻户晓,给我们生活上

门禁电子密码锁说明书

《微机原理及接口技术》 课程设计说明书 课题:门禁电子密码锁 专业:通信工程 班级:**** 姓名:****** 学号: 指导老师:

目录 一、设计任务 (3) 1、设计题目 (3) 2、设计目的 (3) 3、设计任务 (3) 4、设计基本要求 (3) 二、总体方案设计与论证 (4) 1、总体方案设计 (4) 2、按键消抖方案设计与论证 (4) 3、按键检测方案设计与论证 (5) 4、数码管显示方案设计 (5) 三、总框图及总体软件设计说明 (5) 1、系统总框图 (5) 2、硬件模块功能说明 (5) 3、软件设计说明 (6) 四、局部程序设计说明 (7) 1、按键检测程序 (7) 2、拆字程序 (8) 3、显示程序 (10) 4、核对密码程序 (11) 5、中断服务程序 (14) 五、系统资源分配 (15) 六、系统功能与操作说明 (16) 七、调试记录及调试结果 (17) 八、课程设计总结 (17) 附录 (18)

一、设计任务 1、设计题目:门禁电子密码锁 2、设计目的:通过小型微机应用产品的设计与调试过程,运用《微机原理 及接口技术》课程所学的基本知识,在设计中加以应用,进而得到理解、巩固和提高发展,通过实践的过程学习掌握分析与解决实际问题的方法与手段,提高设计、编程与调试的实际动手能力,作为工程技术工作的一次基本训练。 3、设计任务:设计一个以单片机为核心的门禁密码锁。具有密码开锁,不 同权限的密码修改、密码输入安全设置、开门时段管理等功能。编程并在单片机实验板上模拟调试实现。 4、设计基本要求: 1、开机进行接口部件及数码显示器、指示灯、讯响器等自检。 2、密码分为管理员密码和用户密码:管理员密码为8位0~9的数字,而 用户密码为6位0~9的数字。 3、设置“0”~“9”的数字键及“ESC”和“ENT”等功能键。对按键输入 信号须进行软件消抖处理。 4、工作模式: (1)常态:数码管显示时钟。 (2)开锁模式:需输入6位数字为0~9的密码进行开锁。密码数字可以由管理员事先设置保存共普通用户使用。 (3)管理员操作模式:需输入8位0~9的密码,可以进行修改用户密码、修改管理员密码、修改进门时段等功能。 5、常态下,八位LED 7段数码管显示时钟信息可包括小时、分钟、秒钟。 6、只要按下0~9的数字键则转入开锁状态,每按一个数字键使数码管从 左到右逐次显示“—”。 7、如果输入的密码为6位则和用户密码进行匹配,匹配一致则开锁,如 果输入的密码为8位则和管理员密码进行匹配,匹配一致则进入管理员操作模式。 8、在密码输入状态下,输入满6位或8位密码数据后,按“ENT”键才能 进行密码匹配,如果没满6位或8位数字密码,按“ENT”则不作响应。 9、输入的用户密码如果匹配则相应指示灯亮并且电动开门电磁铁线圈通 电1S开门后返回常态;如果输入不正确,则提示“E”,3S后返回常态,等待下一轮的密码输入。

电子密码锁报告

基于单片机控制的电子密码锁 设计者:张雪贵 指导老师:李峥 淮北煤炭师范学院物理与电子信息学院 06电子信息工程 2009年6月

基于单片机的电子密码锁 一设计任务 设计一个利用AT89S52单片机控制的电子密码锁,该电子密码功能包括16个密码输入,密码输入过程中清除输入,密码正确和错误分别用蜂鸣器提示,输入错误密码超次锁定,密码修改,12864LCD 显示菜单实现多功能等等。 二总体方案设计与实现 电子密码锁系统核心用AT89S52单片机控制,密码输入按键为4*4矩阵键盘16个按键实现密码输入,外加独立按键实现清除,确定和液晶反白控制。密码存储电路利用AT24C02芯片,该芯片可以实现掉电存储,而且数据可保留时间长,与单片机连接简单。报警电路利用三极管驱动小型蜂鸣器实现,通过给蜂鸣器送不同频率的电平信号实现不同声音报警。LCD用12864字符型液晶实现,通过单行反白实现菜单,加强液晶显示内容及添加系统功能。 另外,电磁锁电路暂时利用发光二极管代替,用发光二极管的亮灭和蜂鸣器的响声来指示电磁锁的开关。 图1 系统原理框图 图2系统总体原理电路图 三硬件电路的功能单元设计 (一)单片机最小系统

其原理图如图1所示 图3 单片机最小系统模块 单片机采用AT89S52单片机, AT89S52是一种低功耗、高性能CMOS8位微控制器,具有8K在系统可编程Flash存储器,与工业80C51产品指令各引脚完全兼容。单片机最小系统主要有两块组成,其一为晶振起振电路,其二为复位电路。在此,我们采用按键手动复位,相对来讲,这种复位方式更加方便人性化,不必要切断电源即可对系统进行复位。 (二)开锁电路 通过单片机送给开锁执行机构,电路驱动电磁锁吸合,从而达到开锁的目的。其原理如图2 所示。 图4 开锁电路原理 当用户输入的密码正确单片机便输出开门信号,送到开锁驱动电路,然后驱动电磁锁,达到开门的目的。在此为节省成本考虑,我们用二极管代替电磁锁,将一切在LCD上面显示,并且利用蜂鸣器和二极

四位电子密码锁.

专业工程设计说明书 题目:4位电子密码锁设计 院(系):电子工程与自动化学院 专业:测控技术与仪器(卓越) 学生姓名:蔡伟航 学号:1200820206 指导教师:黄源 2015年1月16日

锁是置于可启闭的器物上,用以关住某个确定的空间范围或某种器具的,必须以钥匙或暗码打开的扣件。锁具发展到现在已有若干年的历史了,人们对它的结构、机理也研究得很透彻。随着社会科技的进步,锁已发展到了密码锁、磁性锁、电子锁、激光锁、声控锁等等。当今安全信息系统应用越来越广泛,特别在保护机密、维护隐私和财产保护方面起到重大作用,而基于电子密码锁的安全系统是其中的组成部分,因此研究它具有重大的现实意义。 本设计由主控芯片51单片机,单片机时钟电路,矩阵键盘,数码管的动态显示,报警电路和开锁电路组成。单片负责控制整个系统的执行过程。 关键词:AT89S51、时钟电路、矩阵键盘、数码管的动态显示、报警电路,开锁电路。

引言 (1) 1课程设计题目 (1) 2 系统设计 (2) 2.1 总的系统设计结构图 (2) 2.2系统硬件设计 (2) 3 AT89S52最小系统设计 (3) 3.1 时钟电路设计 (3) 3.2 复位电路设计 (4) 4 键盘及显示报警电路的硬件设计 (5) 4.1 矩阵键盘电路设计 (5) 4.2 显示电路硬件设计 (5) 4.3 继电器驱动电路及报警电路设计 (6) 4.3.1继电器简介 (6) 4.3.2 固态继电器驱动电路设计 (7) 4.3.3报警提示电路 (7) 5 系统软件设计 (8) 5.1主程序模块 (9) 5.2密码比较判断模块 (9) 5.3键盘扫描模块 (9) 5.4修改密码模块 (10) 5.5数码管液晶显示模块 (11) 6 总体调试 (11) 7 总结 (12) 参考文献 (13) 附录 (14)

简易电子密码锁(基于51单片机)报告

简易电子密码锁设计 &我的设计思想 联想到日前在安全技术防范领域,具有防盗报警功能的电子密码控制系统逐渐代替传统的机械式密码控制系统,并结合近期的学习过程和一些参考书籍,完成了简易的电子密码锁设计学习。 电子密码控制是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。电子密码控制不论性能还是安全性都已大大超过了机械类结,具有良好的应用前景。 一、设计目的与内容 设计了一个简易电子密码锁,可按要求从矩阵键盘输入6位数密码如“080874”,输入过程中有按键音提示。当密码输入正确并按下确认键(“OK”键)后,发光二极管被点亮。

二、工作原理与基本操作过程介绍 采用80C51为核心的单片机控制。利用单片机灵活的编程设计和丰富的IO端口,及其控制的准确性,进行电子密码锁的设计。 (1)键盘的人工编码 给每个按键指定一个按键值,报告设定按键S1~S9对应的按键值分别为“1~9”,S10为数字“0”,S11为“OK”,S12~S16对应的按键值分别为12~16。 (2)根据按键值,指定每个按键对应的输入数字和信息。如下表为每个按键代表的数字和输入信息。当键盘扫描程序扫描到S10键被按下时,将其代表的按键值“0”通知CPU,CPU根据事先的规定,就会知道输入的数字是“0”。 矩阵键盘中每个按键所代表的数字和输入信息

(3)输入数字和密码对比。 先将设定的密码用一个数组保存,报告中用的密码“080874”和“OK”确认信息可以用如下数组保存: Unsigned char D[ ]={0,8,0,8,7,4,11}; 在主程序接收到数字和信息后,通过逐位对比的方法进行判断。输入的数字经对比正确时,程序才会继续顺序执行,否则,程序拒绝继续执行。 (4)执行预期功能。 如果输入密码正确,执行预期功能,报告设计为点亮P3.0口引脚LED。

电子密码锁_大连理工大学数电课设

大连理工大学本科实验报告 题目:电子密码锁 课程名称: 数字电路课程设计 学院(系): 电子信息及电气工程学部 专业:电气工程及其自动化 班级: 学生姓名: 学号: 完成日期: 成绩:

题目:电子密码锁 1 设计要求 设计一个8位串行数字锁,并验证其操作。具体要求如下:1.开锁代码为8位二进制数,当输入代码的位数和位值与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮开锁指示灯LT。否则,系统进入“错误”状态,并发出报警信号。 2.开锁程序由设计者确定,并要求锁内给定的密码是可调的,且预置方便,保密性好。 3.串行数字锁的报警方式是点亮77指示灯LF,并使喇叭鸣叫来报警,报警动作响1分钟,停10秒钟后再重复出现,直到按下复位开关,报警才停止。此时,数字锁自动进入等待下一次开锁的状态。 4.报警器可以兼作门铃用,门铃响的时间通常为7~10秒。 2 设计分析及系统方案设计 系统的结构图如下图所示: 本实验要求串行输入八位密码,密码可以随意设置,可以任意更改, 并且能够存储.而且能够显示出当前已经输入或者设置的位数,待输入八位后通过比较电路与预先设置的密码进行比较.如果输入的密码与存储的密码相同锁体打开如果输入的密码与存储的密码不同则报警系统打开发出警报.由于还要求有门铃功能所以增加一个门铃输入当门铃按下后门铃响十秒钟。

对于密码存储以及密码输入比较部分主要由load 控制。 load为0时系统功能为设置密码,此时只需要顺序串行输入八位0/1密码即可,系统将输入的密码自动保存在存储器内以便于输入的密码进行比较,当load为1时系统功能为输入密码,此时只需要顺序串行输入八位0/1即可,待输入八位后系统自动将刚输入的密码与存储器内的密码进行比较如果密码正确则开锁信号lt为1,否则警报信号lf 和响铃信号alm为1 lt为0 对于门铃部分当检测到press信号的下降沿时门铃开始响,计数器开始计数,此时始终脉冲频率为50MHz/16MHz=3.125Hz ,此时当计数器为30时既时间为30/3.125=9.6秒时门铃自动关闭。 3系统以及模块硬件电路设计 系统电路图 系统电路图如上如所示其中clk1脚接入50MHz的方波,load有两种状态----高电平和低电平状态。k0 k1 press clr 分别接到四个去抖开关上开关按下去为低 电平lt alm2 lf alm分别接到四个发光二级管上,当输出为1时二极管发光。

基于单片机的电子密码锁及程序

基于单片机的电子密码 锁及程序 Document serial number【UU89WT-UU98YT-UU8CB-UUUT-UUT108】

基于单片机的电子密码锁设计 摘要 随着科技和人们的生活水平的提高,如何实现家庭防盗这一问题也变的尤为突出,传统的机械锁由于构造简单,被撬事件屡见不鲜。电子密码锁保密性好,使用灵活性高,收到广大用户的青睐。 本设计是以单片机AT89C52作为密码锁的主控芯片与数据存储单元,结合外围的矩阵键盘输入、LCD显示、开锁、报警等,用C语言编写程序,并用Keil uVision4软件进行编译设计了一款可以更改密码,具有报警功能的电子密码控制系统。 本设计采用矩阵键盘对密码进行输入,具有较高的优势,减少了I/O 口的占用数目。密码的显示采用LCD显示屏实现,为确保安全性统一使用“*”显示密码,当重新设置密码时按下“修改”键,LCD显示屏显示数字。采用蜂鸣器模拟报警系统,增加了密码锁的安全能力。软件使用C 语言编程,运用自上而下的模块化设计思想,使系统朝着分布式、小型化方向发展,增强系统的可扩展性和运行的稳定性。测试结果表明,设计达到电子密码锁的功能。 关键字:密码锁、AT89C52、矩阵键盘、报警 一、设计背景 随着社会科技的进步,锁已经发展到了密码锁、磁性锁、电子锁、激光锁、声控锁等等。在传统钥匙的基础上,加了一组或多组密码,不同声音,不同磁场,不同声波,不同光束光波,不同图像来控制锁的开

启,从而大大提高了锁的安全性。当今安全信息系统应用越来越广泛,特别在机密保护、维护隐私和财产保护方面起到重大作用,而基于电子密码锁的安全系统是其中的一部分,运用非常广泛,研究它具有重大的现实意义。 电子密码锁可以在日常生活和现代办公中,住宅与办公室的安全防范、单位的文件档案、财务报表以及一些个人资料的保存等多种场合使用。大大提高了主人物资的安全性。目前使用的密码锁种类繁多,各具特色。本文从经济实用的角度出发,采用AT89C52单机,研制了一款具有防盗自动报警功能的电子密码锁。该密码锁设计方法合理,简单易行,成本低,符合住宅、办公室用锁要求,具有一定的推广价值。 二、设计目的 1.了解单片机开发系统的组成及结构; 2.掌握I/O口的操作方法; 3.能够熟练使用protues和keil软件进行连线和编程,并熟练掌握仿 真方法; 4.掌握LCD显示屏的显示原理; 5.掌握C语言编程方法; 6.培养查找错误和改正错误的能力。

4位数字密码锁的设计

1技术指标 用与非门设计一个4位或多位代码的数字锁,要求如下: A:设计一个保险箱用的多位代码数字锁,比如4位代码ABCD四个输入端和一个开锁用的钥匙插孔输入端E,当开箱时(E=1),如果输入代码(例如ABCD=1010)与设定的代码相同,则保险箱被打开,即输出端Z=1,否则电路发出报警信号: B: 进行电路仿真,并说明其工作原理。

2方案比较 方案一:由4个单刀双掷开关构成密码开关,用户可以通过控制开关来控制A、B、C、

3Proteus软件介绍 Proteus软件是来自英国Labcenter electronics公司的EDA工具软件。 Proteus软件有十多年的历史,在全球广泛使用,除了其具有和其它EDA工具一样的原理布图、PCB自动或人工布线及电路仿真的功能外,其革命性的功能是,他的电路仿真是互动的,针对微处理器的应用,还可以直接在基于原理图的虚拟原型上编程,并实现软件源码级的实时调试,如有显示及输出,还能看到运行后输入输出的效果,配合系统配置的虚拟仪器如示波器、逻辑分析仪等,您不需要别的,Proteus为您建立了完备的电子设计开发环境!尤其重要的是Proteus Lite可以完全免费,也可以花微不足道的费用注册达到更好的效果;功能最强的Proteus专业版也非常便宜,人人用得起,对高校还有更多优惠。 Proteus组合了高级原理布图、混合模式SPICE仿真,PCB设计以及自动布线来实现一个完整的电子设计系统。此系统受益于15年来的持续开发,被《电子世界》在其对PCB 设计系统的比较文章中评为最好产品—“The Route to PCB CAD”。Proteus产品系列也包含了我们革命性的VSM技术,用户可以对基于微控制器的设计连同所有的周围电子器件一起仿真。用户甚至可以实时采用诸如LED/LCD、键盘、RS232终端等动态外设模型来对设计进行交互仿真。 其功能模块:—个易用而又功能强大的ISIS原理布图工具;PROSPICE混合模型SPICE仿真;ARES PCB设计。PROSPICE仿真器的一个扩展PROTEUS VSM:便于包括所有相关的器件的基于微处理器设计的协同仿真。此外,还可以结合微控制器软件使用动态的键盘,开关,按钮,LEDs甚至LCD显示CPU模型。 Proteus支持许多通用的微控制器,如PIC,A VR,HC11以及8051。 交互的装置模型包括:LED和LCD显示,RS232终端,通用键盘。 Proteus有强大的调试工具;包括寄存器和存储器,断点和单步模式。 IAR C-SPY和Keil uVision2等开发工具的源层调试。 Proteus应用特殊模型的DLL界面-提供有关元件库的全部文件。 Proteus与其他的仿真软件相比较,在下面的优点: 1、能仿真模拟电路、数字电路、数模混合电路; 2、能绘制原理图、PCB图; 3、几乎包括实际中所有使用的仪器;

(完整版)基于单片机的电子密码锁设计开题报告

毕业设计(论文)开题报告题目:基于单片机的电子密码锁设计

一、选题的依据及意义 (一)选题依据 随着人们生活水平的提高和安全意识的加强,对安全的要求也就越来越高。锁自古以来就是把手护门的铁将军,人们对它要求甚高,既要安全可靠的防盗,又要使用方便,这是制锁者长期以来研制的主题。目前使用的电子密码锁大部分是基于单片机技术,以单片机为主要器件,其编码器与解码器的生成为软件方式。相比传统的机械式钥匙开锁携带不方便、安全性能差等特点,电子密码锁易操作、功能低等优势,使其越来越成为市场上的主流产品。如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜。电子密码锁是由电子电路控制锁体的新型锁具,它采用触摸键盘方式输入开锁密码,操作方便。触摸式电子锁的输入部分采用触摸开关(键盘输入),其优势在于其保密性高,使用灵活性好,安全系数高,无活动零件,不会磨损,寿命长等优点。本设计采用单片机MCS51作为单片机的核心单元,设计了一款具有本机开锁,密码更改和报警功能的电子密码锁。即简单又适用。根据单片机技术及相关原理,设计出一款以单片机为控制核心并融合了红外线技术的新型密码锁。该锁结合电子密码和光控的技术优势,摆脱了老式机械锁难更换,易损坏以及电子锁安全性不高的缺点,是一类极具发展前景新型锁。 (二)选题意义 在科学技术不断发展的今天,电子密码防盗锁作为防盗卫士的作用也日趋重要。针对平常锁具给人们带来的不便,若使用机械式钥匙开锁,则结构简单,安全性不好。为满足人们对锁的使用要求,增加其安全性,用密码代替钥匙的密码锁应运而生,电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。现在应用较广的电子密码锁是以芯片为核心,通过编程来实现的。其性能和安全性已大大超过了机械锁。它的出现为人们的生活带来了很大的方便,有很广阔的市场前景,相信随着社会的进一步发展,它的方便,安全,实用,小巧,精致的特点会越来越得到人们的喜爱,是人们居家旅行必备之品。促进加深单片机原理及应用知识的掌握。促进加深数电、模电知识的掌握。熟悉单片机程序设计语言。熟悉PROTEL环境下设计一个单片机设计系统的方法,并熟练掌握KEIL和PROTEL联调技术。促进电子密码锁知识的普及和发展。 二、国内外研究现状及发展趋势(含文献综述) (一)国外研究 早在80年代,日本产生了最早的电子密码锁。随着日本的经济复苏,电子行业的快速发展,一些利用简单的门电路设计的密码锁出现了。这类的电路安全性差、容易破解,到了90年代,英国、意大利、德国、日本、加拿大、韩国以及我国的台湾、香港等地的微电子技术的进步和通信技术的发展为密码锁提供了技术上的基础,从而推动密码锁走向实际应用的阶段。采用AT24C02为掉电存储器的芯片,这种芯片稳定性高,成本低,还能扩展很多功能。加红外探测技术,指纹识别技术,语音识别技术,图像识别技术等。这些扩展的技术

单片机电子密码锁的设计(汇编语言)

一、设计总说明 随着人们对安全的重视和科技的发展,许多电子智能锁(指纹识别、IC卡辨认)已在国内外相继面世。但是这些产品的特点是针对特定的指纹和有效卡,只能适用于保密要求的箱、柜、门等。而且指纹识识别器若在公共场所使用存在容易机械损坏,IC卡还存在容易丢失、损坏等特点。加上其成本较高,一定程度上限制了这类产品的普及和推广。鉴于目前的技术水平与市场的接收程度,电子密码锁是这类电子防盗产品的主流。 本次基于8051单片机电子密码锁的设计,其主要具有如下功能:(1)设置6位密码,密码通过键盘输入,若密码正确,则将锁打开。(2)密码可以由用户自己修改设定(只支持6位密码),锁打开后才能改密码。修改密码之前必须再次输入密码,在输入新密码时候需要二次确以防止误操作。 (3)报警、锁定键盘功能。密码输入用发光管显示,当连续3次输入错误密码,系统声光报警。 电子密码锁的设计主要由三部分组成:4×4矩阵键盘接口电路、密码锁 的控制电路、输出八段显示电路。另外系统还有LED提示灯等。 密码锁设计的关键问题是实现密码的输入、清除、更改、开锁等功能:(1)密码输入功能:按下一个数字键,一个“-”就显示在最右边的数码管上,同时将先前输入的所有“-”向左移动一位。 (2)密码清除功能:当按下清除键时,清除前面输入的所有值,并清除所有显示。 (3)密码更改功能:将输入的值作为新的密码。 (4)开锁功能:当按下开锁键,系统将输入与密码进行检查核对,如果确锁打开,否则不打开。 主要的设计实施过程:首先,选用8051单片机,以及选购其他电子元器件。第二步,设计硬件电路。第三步,编写单片机语言实现功能。第四部,分别进行软件和硬件的调试。最后,联合软、硬件调试整个系统,完成本次课程设计。关键词:4×4矩阵键盘;8051单片机;密码锁;密码二次确认‘ 二、绪论 2.1 背景 随着社会物质财富的日益增长,安全防盗已成为社会问题。而锁自古以来就是把守门户的铁将军,人们对它要求甚高,既要安全可靠地防盗,又要使用方便,这也是制锁者长期以来研制的主题。目前国内,大部分人使用的还是传统的机械锁。然而,眼下假冒伪劣的机械锁泛滥成灾,互开率非常之高。所谓互开率,是各种锁具的一个技术质量标准,也就是1把钥匙能开几把锁的比率。经国家工商局、国家内贸局、中国消协等部门对锁具市场的调查,发现个别产品的互开率居然超标26倍。 为何弹子锁的“互开率”会如此之高?据有关专家人士剖析,弹子锁质量好坏主要取决于弹子数量的多少以及弹子的大小,而弹子的多少和大小受一定条件的限制。此外,即使是一把质量过关的机械锁,通过急开锁,甚至可以在不损坏锁的前提下将锁打开。机械锁的这些弊端为一种新型的锁---电子密码锁,提供了发展的空间。 2.2 电子锁 电子锁是采取电子电路控制,以电磁铁或者卫星电机和锁体作为执行装置的机电一体化锁具,相比传统的机械锁具,电子锁不使用金属钥匙,保密性、精度都有很大提高。 电子锁的发明思路,源自古代发明的自动机械,例如古希腊数学家赫伦的液压自动门,中国古代诸葛亮的木牛流马,它们以重力或蒸汽压力驱动,最广泛的用途乃是用在古代墓道的地

电子密码锁源程序(带功能简介)

/****************************************************************************** ** 功能键 S6---S15 数字键0-9 S16---更改密码S17---更改密码完毕后确认 S18---重试密码、重新设定S19---关闭密码锁 初始密码:000000 密码位数:6位 注意:掉电后,所设密码会丢失,重新上点时,密码恢复为原始的000000 与P1相连的8位发光LED点亮代表锁被打开;熄灭代表锁被锁上 程序功能: 1、开锁: 下载程序后,直接按六次S7(即代表数字1),8位LED亮,锁被打开,输入密码时, 六位数码管依次显示小横杠。 2、更改密码: 只有当开锁(LED亮)后,该功能方可使用。 首先按下更改密码键S16,然后设置相应密码,此时六位数码管会显示设置密码对应 的数字。最后设置完六位后,按下S17确认密码更改,此后新密码即生效。 3、重试密码: 当输入密码时,密码输错后按下键S18,可重新输入六位密码。 当设置密码时,设置中途想更改密码,也可按下此键重新设置。 4、关闭密码锁: 按下S19即可将打开的密码锁关闭。 推荐初级演示步骤:输入原始密码000000---按下更改密码按键S16---按0到9设置密码---按S17 确认密码更改---按S18关闭密码锁---输入新的密码打开密码锁 ******************************************************************************* / #include #define uchar unsigned char #define uint unsigned int uchar old1,old2,old3,old4,old5,old6; //原始密码000000 uchar new1,new2,new3,new4,new5,new6; //每次MCU采集到的密码输入 uchar a=16,b=16,c=16,d=16,e=16,f=16; //送入数码管显示的变量 uchar wei,key,temp; bit allow,genggai,ok,wanbi,retry,close; //各个状态位 sbit dula=P2^6; sbit wela=P2^7;

四位电子密码锁

沈阳 课程设计 (说明书) 四位电子密码锁的设计 班级/ 学号 学生姓名 指导教师

课程设计任务书 课程名称数字逻辑课程设计 课程设计题目四位电子密码的设计 课程设计的内容及要求: 一、设计说明与技术指标 设计一个四位电子密码锁电路,技术指标如下: ①通过键盘电路输入四位密码。初始密码0000设定。 ②密码输入正确后,锁打开。在锁打开时,才可修改密码。 ③密码输入错误有适当的提示。三次错误后,具有声、光报警功能。二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 彭介华.电子技术课程设计指导[M].北京:高等教育出版社 2. 孙梅生,李美莺,徐振英. 电子技术基础课程设计[M]. 北京:高等教育出版社 3. 梁宗善. 电子技术基础课程设计[M]. 武汉:华中理工大学出版社 4. 张玉璞,李庆常. 电子技术课程设计[M]. 北京:北京理工大学出版社 5. 谢自美.电子线路设计·实验·测试(第二版)[M].武汉:华中科技大学出版社 五、按照要求撰写课程设计报告 成绩指导教师日期

一、概述 随着人们生活水平的提高,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,因此电子密码锁在实现家庭防盗这一问题也变得尤其的突出,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的青睐。这种锁是通过键盘输入一组密码来达到开锁的目的。本课程设计一个电子密码锁,要求是设定4位的密码锁,输入4位输入密码,然后与已设置好的密码相比较,密码输入正确后即可开锁,开锁后才可执行密码修改的功能,如果输入三次错误密码就不断报警(包括声音和光两种报警方式)。 二、方案论证 设计一个四位电子密码锁,通过输入四位密码,并且一位一位的进行比较,能够在输入正确的密码后打开锁,并且只有在打开锁之后判断是否修改密码,如果输入密码错误,则记录一次输入错误信息,如果输入三次错误密码,则有声音(蜂鸣)或者光(灯亮)报警。 方案一: 本方案通过控制开关键入密码,通过74LS148把相应的十进制数转化成相应的四位二进制码,与寄存在74LS195的原始密码通过数值比较器74LS85进行比较,如果四位密码都输入正确,则提示锁打开,然后提示可以修改密码;如果密码输入错误,则记录一次,当输入错误三次,则有报警提示。 图1 四位电子密码锁电路的原理框图

电子密码锁设计【开题报告】

开题报告 电气工程及其自动化 电子密码锁设计 一、综述本课题国内外研究动态,说明选题的依据和意义 目前,最常用的锁是20世纪50年代意大利人设计的机械锁,其机构简单、使用方便、价格便宜。但在使用中暴露了很多缺点:一是机械锁是靠金属制成的钥匙上的不同齿形与锁芯的配合来工作的。据统计,每4000把锁中就有两把锁的钥匙齿牙相同或类似,故安全性低。二是钥匙一旦丢失,无论谁捡到都可以打开,三是机械锁的材料大多为黄铜,质地较软,容易损坏。四是机械锁钥匙易于复制,不适于诸如宾馆等公共场所使用。由于人们对锁的安全性,方便性等性能有更高的要求,许多智能锁(如指纹辨别、IC卡识别)也相继问世,但这类产品的特点是针对特定指纹或有效卡,但能适用于保密要求高仅供别人使用的箱、柜、房间,其成本一般较高,在一定程度上限制了这类产品的普及和推广。 在日常生活和工作中,住宅与部门的安全防范、单位的文件档案、财务报表以及一些个人资料的保存多以加锁的办法来解决,而钥匙丢失安全性即大打折扣。而且,由于传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,因此也存在着很多安全隐患。随着科学技术的不断发展,人们对日常生活中的安全性的要求不断提高,用密码代替钥匙的密码锁应运而生。密码锁具有安全性高、成本低、功耗低、易操作等优点。 在安全技术领域,具有防盗报警功能的电子密码锁逐渐代替传统的机械式密码锁,克服了机械式密码锁密码量少、安全性能差的缺点,使密码锁无论在技术上还是在性能上都大大提高一步。随着大规模集成电路技术的发展,特别是单片机的问世,出现了带微处理器的智能电子密码锁,它除了具有电子密码锁的功能外,还引入了智能化管理、专家分析系统等功能,从而使密码锁具有很高的安全性、可靠性,应用日益广泛。 随着人们对安全的重视和科技的发展,许多电子智能锁(指纹识别、IC卡辨认)已在国内外相继面世。但是这些产品的特点是针对特定的指纹和有效卡,只能适用于保密要求的箱、柜、门等。而且指纹识别器若在公共场所使用存在容易机械损坏,IC

三星智能电子门锁使用说明

For personal use only in study and research; not for commercial use 电子智能化装备 A、电子门锁使用说明 (1)、特点: 本工程各户的入户门锁,采用的是三星电子门锁,具有以下功能:卡开启功能、 密码开启功能、自动上锁功能、睡眠锁闭功能、声音提示功能、火灾报警功能。(2)、组成: 室外部分室内部分感应卡钥匙锁芯锁销挡片说明书应急钥匙AA电池 (3)、锁体图示: 自动滑盖 密码按键应急电源接口 卡感应区 应急机械钥匙孔 开启执手 内封闭盖 卡储存按钮自动/手动选择按钮遥控器注册键密码注册键 OPEN按钮应急按钮 锁芯指示按钮 手柄 (4)、初始化方法(管理密码、密码、卡、钥匙) 删除所有(管理密码密码卡钥匙)注册信息 方法1:同时按卡注册按钮和遥控器注册按钮5秒→滑盖自动上移后输入初始管理密码→按“*”结束 方法2:同时按卡注册按钮和遥控器注册按钮5秒→滑盖自动上移后输入“80” →按“*”结束 (5)、管理密码注册方法 同时按住open键和密码注册键→滑盖自动上移后输入要注册新管理密码→“*”

→再输一次→“*”结束 注意事项:■在输入过程中,工作指示灯因输入错误而闪烁并发出“滴沥滴沥“的报警音时应从头开始重新输入。 ■出厂的管理密码设为“1234”,管理密码只能更改,不能删除(6)、更改管理密码 同时按住open键和密码注册键→滑盖自动上移后输入原来的管理密码后再按“*” →输入要注册的管理密码后按“*”→再输入一次要注册的管理密码→按“*”结 束 (7)、密码注册方法 按一下密码注册键→滑盖自动上移后输入管理密码再按“*”→输入要注册的密码 后按“*”→再输一次要注册的密码→按“*”结束。 注意事项:■在输入过程中,工作指示灯因输入错误而闪烁并发出“滴沥滴沥“的报警音时应从头开始重新输入。 ■请定期更改密码,密码最好超过6位数。 (8)、删除个别密码 按住密码注册键5秒→滑盖自动上移后输入管理密码再按“*”→输入要删除的密码后按“*”→再输入一次要删除的密码→按“*”结束。 (9)、删除所有已注册的密码 按住密码注册键5秒→滑盖自动上移后输入管理密码再按“*”→再输入一次管理 密码→按“*”结束。 (10)、出入卡注册 按一下注册键→输入管理密码后按“*”→将要注册的出入卡抵在卡的接触部位 若要连续注册多张卡,请在5秒内连续将出入卡抵在卡的接触部位。 (11)、删除所有已注册的出入卡 按住卡注册键5秒→输入管理密码→按“*”结束 ■本操作执行后将删除所有卡的注册信息,不能进行个别出入卡的删除。 特别说明:其它技术细节请见《三星电子锁使用说明书》

相关文档
最新文档