数字逻辑第四章课后答案

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数字逻辑第四章课后答案

盛建伦:《数字逻辑与VHDL逻辑设计》习题解答

习题4解答

4-1

试用与非门设计实现函数F(A,B,C,D)=Σm(0,2,5,8,11,13,15)的组合逻辑电路。

解:首先用卡诺图对函数进行化简,然后变换成与非-与非表达式。

化简后的函数

4-2

CDAB00010010010111100110111000111000A

&&B

C&&&&&F&FBCDABDBCDACDBCDABDBCDACDBCDABDBCDACDD&试用逻辑门设计三变量的奇数判别电路。若输入变量中1的个数为奇数时,输出为1,否则输出为0。

解:本题的函数不能化简,但可以变换成异或表达式,使电路实现最简。真值表:逻辑函数表达式:

ABC000001010011100101110111Y01101001=1ABC逻辑图

=1YYABCABCABCABC(AB)C4-1

盛建伦:《数字逻辑与VHDL逻辑设计》习题解答

4-3

用与非门设计四变量多数表决电路。当输入变量A、B、C、D有三个或三个以上为1时输出为1,输入为其他状态时输出为0。

解:

真值表:先用卡诺图化简,然后变换成与非-与非表达式:

ABCD00000010001101000101011001111000101010111100110111101111 YABDABCBCDACDABDABCBCDACDABDABCBCDACDY00000010010111C&00010A B00011110CD00010000001011100111001010010逻辑图

A

&B&&Y逻辑函数表达式:D

4-4

&用门电路设计一个代码转换电路,输入为4位二进制代码,输出为4位循环码。

解:首先根据所给问题列出真值表,然后用卡诺图化简逻辑函数,按照化简后的逻辑函数画逻辑图。

4-2

盛建伦:《数字逻辑与VHDL逻辑设计》习题解答

真值表:卡诺图化简:

ABCDY1Y2Y3Y40000000000010001001000110011001001000110010101110110 0101011101001000110010011101101011111011111011001010110110111110 100111111000ABCD00011110000011011100111100100011Y3的卡诺图化简后的逻辑函数:Y1AY2ABABABY3BCBCBC

Y4CDCDCD4-3

ABCD00011110000000010000111111101111Y1的卡诺图

ABCD00011110000000011111110000101111Y2的卡诺图

ABCD00011110000101010101110101100101Y4的卡诺图

逻辑图

Y1Y2Y3Y4=1=1=1ABCD盛建伦:《数字逻辑与VHDL逻辑设计》习题解

4-5

图 4.48所示是一个由两台水泵向水池供水的系统。水池中安置了A、B、C三个水位传感器。当水池水位低于C点时,两台水泵同时供水。当

水池水位低于B点且高于C点时,由水泵M1单独供水。当水池水位低于

A点且高于B点时,由水泵M2单独供水。当水池水位高于A点时,两台

水泵都停止供水。试设计一个水泵控制电路。要求电路尽可能简单。

M1ABCM2图4.48习题4-5的示意图

解:设水位低于传感器时,水位传感器的输出为1,水位高于传感器时,水位传感器的输出为0。

首先根据所给问题列出真值表。其中有几种情况是不可能出现的,用

约束项表示。

ABC000001010011100101110111

如果利用约束项化简如果不利用约束项化简

M1M200某某某某某某A01BC0001某某1110某某0011A01BC0001某某1110某某011001某某1011M1的卡诺图M2的卡诺图

M1BM1AB逻辑图

M2CABM1M2≥1M2ABCABCA(BC)M1&M2&=&1BACABC(a)用约束项化简(b)不

用约束项化简

4-4

盛建伦:《数字逻辑与VHDL逻辑设计》习题解答

习题4-5的逻辑图

4-6

试用3线-8线译码器74HC138和门电路实现如下多输出逻辑函数并

画出逻辑图。

Y1ABCA(BC)Y2ACABY3(AB)(AC)Y4ABCABC解:先将逻辑函数变换成最

小项之和的形式

Y1ABCABACABCABCABCABCY2ABCABCABCABCYABACABCABCABCABC3再变换成与

74HC138一致的形式

Y1ABCABCABCABCmmmm53211逻辑图

&&Y4

YABCABCABCABC2m7m4m3m2Y3ABCABCABCABCm7m5m3m2Y4ABCABCm7m0ABCY

0Y1S3Y2Y374HC138Y4Y5A2Y6A1Y7A0S1S2Y1&Y2&Y3令74HC138的A2=A,

A1=B,A0=C,

4-7

试用3线-8线译码器74HC138和逻辑门设计一组合电路。该电路输入某,输出Y均为3位二进制数。二者之间关系如下:当2≤某<7时,Y=某-2

某<2时,Y=1某=7时,Y=6

解:首先根据所给问题列出真值表。某2某1某0000001010011100101Y2Y1Y0001001000001010011逻辑图

1Y7S3Y6S2Y5S1Y4A2A1A074HC138&&Y2Y1Y0

某24-5某1某0Y3Y2Y1Y0&

盛建伦:《数字逻辑与VHDL逻辑设计》习题解答

4-14图4.50所示是由3线8线译码器74HC138和8选1数据选择器构成的电路。试分析

①当数据C2C1C0=D2D1D0时,输出F=②当数据C2C1C0≠D2D1D0时,输出F=

解:

①当数据C2C1C0=D2D1D0时,输出F=0②当数据C2C1C0≠D2D1D0时,输出F=1

这个电路可以检验数据C2C1C0与D2D1D0是否相同。4-15

设计用3个开关控制一个电灯的逻辑电路,要求改变任何一个开关的状态都能控制电灯由亮变灭或者由灭变亮。用数据选择器实现。

1Y7Y6Y5Y474HC138Y3Y2Y1A2A1A0Y0C2C1C0S1S2S3D7D6D58选1数据选择器D4D3YFD2D1D0A2A1A0D2D1D0图4.50习题4-14的电路

解:用A、B、C分别表示3个开关的状态,Z=1表示电灯亮,Z=0表示电灯灭。令ABC=000时的状态Z=0。(注:此处先用格雷码写出变化表比较容易得真值表,初始状态也很重要)

真值表:逻辑函数表达式:ABC000001010011100101110111

Z01101001ZABCABCABCABC4-11

相关文档
最新文档