数据选择器及其应用

数据选择器及其应用
数据选择器及其应用

数据选择器及其应用

物联网工程

郭港国

2015117026

一、实验目的

1、掌握中规模集成数据选择器的逻辑功能及使用方法

2、学习用数据选择器构成组合逻辑电路的方法

二、实验原理

数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择

器的功能类似一个多掷开关,有四路数据D

0~D

3

,通过选择控制信号 A

1

、A

(地

址码)从四路数据中选中某一路数据送至输出端Q。

1、双四选一数据选择器 74LS153

所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。引脚排列如图4-1,功能如表4-1。

表4-1

图4-1 74LS153引脚功能

A

1、A

为公用的地址输入端;1D

~1D

3

和2D

2D

3分别为两个4选1数据选择器的数据输入端;Q

1

、Q

2

为两个输出端。

11时,多路开关被禁止,无输出,Q=0。

20时,多路开关正常工作,根据地址码A

1、A

的状

态,将相应的数据D

0~D

3

送到输出端Q。

如:A

1A

=00 则选择D

O

数据到输出端,即Q=D

A

1A

=01 则选择D

1

数据到输出端,即Q=D

1

,其余类推。

数据选择器的用途很多,例如多通道传输,数码比较,并行码变串行码,以及实现逻辑函数等。

2、数据选择器的应用—实现逻辑函数

例:用4选1数据选择器74LS153

函数F的功能如表(4-2)所示

表4-2 表4-3

函数F 有三个输入变量A 、B 、C 择器有两个地址端A 1、A 0数,在设计时可任选A 接A 1,B 接A 0能表改画成表(4-3变量A 、B 、C 中

A 、

B 接选择器的地址端A 1、A 0,由表 (4-3)不难看出:

D 0=0, D 1=D 2=C , D 3=1 则4选1数据选择器的输出,便

接线图如图(4-3)所示。

图4-3 用4选1数据选择器实现当函数输入变量大于数据选择器地址端(A )时,可能随着选用函数输入变量作地址的方案不同,而使其设计结果不同,需对几种方案比较,以获得最佳方案。

三、实验设备与器件

1、+5V 直流电源

2、逻辑电平开关

3、逻辑电平显示器

4、)74LS153 74LS04 74LS32 四、实验内容

1、测试数据选择器74LS153的逻辑功能

按 图4-1接线,地址端A 1、A 0、数据端1D 0~1D 3、使能端出端1Q 接逻辑电平显示器,按74LS153功能表逐项进行测试,记录测试结果。 2、用8选1数据选择器74LS151设计三输入多数表决电路。 1)写出设计过程 2)画出接线图 3)验证逻辑功能

1、测试数据选择器74LS151的逻辑功能:

X0-X7为数据输入端

A、B、C地址输入端

Y:数据输出端

E’=0时,74LS151工作;E’=1时,74LS151不工作

真值表为:

2、用数据选择器74LS151实现逻辑函数:

Y

(

)()()()

()()

要有 : 1

3、用数据选择器74LS153实现逻辑函数:

Y

要使:

既有:0D =3D =0

1D =2D =1

2、用8选1数据选择器74LS151设计三输入多数表决电路。

74LS151 为互补输出的 8 选 1 数据选择器,集成芯

片引脚排列如图 4-25,功能如表 4-11 所示。

选择控制端(地址端)为 A 2~A 0,按二进制译码, 图 4-25

74LS151 引脚排列

从 8 个输入数据 D 0~D 7 中,选择一个需要的数据送到

输出端Q,S为使能端,低电平有效。(1)使能端S=1 时,不论A2~A0状态如何,

均无输出(Q=0,Q=1),多

路开关被禁止。

(2)使能端S=0 时,多路开关正常工作,根据地址码A2、A1、A0的状态选

择D0~D7中某一个通道的数据输送到输出端Q。

如:A2A1A0=000,则选择D0数据到输出端,即Q=D0。

如:A2A1A0=001,则选择D1数据到输出端,即Q=D1,其余类推。

五、实验收获、体会:

1.实验中要求掌握74LS151,74LS153的性能和工作条件;

2.要会用数据选择器来实现函数功能.

(注:可编辑下载,若有不当之处,请指正,谢谢!)

实验3-组合逻辑电路数据选择器实验

南通大学计算机科学与技术学院计算机数字逻辑设计 实验报告书 实验名组合逻辑电路数据选择器实验 班级_____计嵌151_______________ 姓名_____张耀_____________________ 指导教师顾晖 日期 2016-11-03

目录 实验一组合逻辑电路数据选择器实验 (1) 1.实验目的 (1) 2.实验用器件和仪表 (1) 3.实验内容 (1) 4.电路原理图 (1) 5.实验过程及数据记录 (2) 6.实验数据分析与小结 (9) 7.实验心得体会 (9)

实验三组合逻辑电路数据选择器实验 1 实验目的 1. 熟悉集成数据选择器的逻辑功能及测试方法。 2. 学会用集成数据选择器进行逻辑设计。 2 实验用器件和仪表 1、8 选 1 数据选择器 74HC251 1 片 3 实验内容 1、基本组合逻辑电路的搭建与测量 2、数据选择器的使用 3、利用两个 74HC251 芯片(或 74HC151 芯片)和其他辅助元件,设计搭建 16 路选 1 的电路。 4 电路原理图 1、基本组合逻辑电路的搭建与测量 2、数据选择器的使用

3、利用两个 74HC251 芯片(或 74HC151 芯片)和其他辅助元件,设计搭建 16 路选 1 的 电路。 5 实验过程及数据记录 1、基本组合逻辑电路的搭建与测量 用 2 片 74LS00 组成图 3.1 所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。

图 3.1 组合逻辑电路 (2)先按图 3.1 写出 Y1、Y2 的逻辑表达式并化简。 Y1==A·B ·A =A + A·B=A + B Y2=B·C ·B·A = A · B+ B ·C (3)图中 A、B、C 接逻辑开关,Y1,Y2 接发光管或逻辑终端电平显示。(4)改变 A、B、C 输入的状态,观测并填表写出 Y1,Y2 的输出状态。 表 3.1 组合电路记录

数字电路实验报告——数据选择器

第八次实验报告 实验六 数据选择器 一、实验目的要求 1、 熟悉中规模集成电路数据选择器的工作原理与逻辑功能 2、 掌握数据选择器的应用 二、实验仪器、设备 直流稳压电源、电子电路调试器、T4153、CC4011 三、实验线路、原理框图 (一)数据选择器的基本原理 数据选择器是常用的组合逻辑部件之一,它有若干个输入端,若干个控制输入端及一个输出端。 数据选择器的地址变量一般的选择方式是: (1) 选用逻辑表达式各乘积项中出现次数最多的变量(包括原变量与反变量),以简 化数据输入端的附加电路。 (2) 选择一组具有一定物理意义的量。 (二)T4153的逻辑符号、逻辑功能及管脚排列图 (1)T4153是一个双4选1数据选择器,其逻辑符号如图1: 图1 (2) T4153的功能表如下表 其中D0、D1、D2、D3为4个数据输入端;Y 为输出端;S 是使能端,在S 是使能端,在 原SJ 符号

S =0时使能,在S =1时Y=0;A1、A0是器件中两个选择器公用的地址输入端。该器件的 逻辑表达式为: Y=S (1A 0A 0D +101D A A +201D A A +301A A A ) (3) T4153的管脚排列图如图2 图2 (三)利用T4153四选一数据选择器设计一个一位二进制全减器的实验原理和实验线路 (1)一位二进制全减器的逻辑功能表见下表: n D =n A n B 1-n C +n A n B 1-n C +n A n B 1-n C +n A n B 1-n C n C =n A n B 1-n C +n A n B 1-n C +n A n B 1-n C +n A n B 1-n C =n A n B 1-n C +n A n B +n A n B 1-n C (3)根据全减器的逻辑功能表设计出的实验线路图为图3: S 11D 3 1D 2 1D 1 1D 0 1Y

实验三 数据选择器及其应用

实验三数据选择器及其应用 一、实验目的 1.掌握数据选择器的逻辑功能和使用方法。 2.学习用数据选择器构成组合逻辑电路的方法。 二、实验原理 数据选择是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去。实现数据选择功能的逻辑电路称为数据选择器。它的功能相当于一个多个输入的单刀多掷开关,其示意图如下: 图9-1 4选1数据选择器示意图 图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中一路数据送至输出端Q。 1.八选一数据选择器74LS151 74LS151是一种典型的集成电路数据选择器,它有3个地址输入端CBA,可选择I0~I78个数据源,具有两个互补输入端,同相输出端Z和反相输出端Z。其引脚图和功能表分别如下: 2.双四选一数据选择器74LS153

所谓双四选一数据选择器就是在一块集成芯片上有两个完全独立的4选1数据选择器,每个数据选择器有4个数据输入端I0~I3,2个地址输入端S0、S1,1个使能控制端E和一 个输出端Z,它们的功能表如表9-2,引脚逻辑图如图9-3所示。 图9-3 74LS153引脚逻辑图表9-2 74LS153的真值表 其中,EA、EB(1、15脚)分别为A路和B路的选通信号,I0、I1、I2、I3为四个 数据输入端,ZA(7脚)、ZB(9脚)分别为两路的输出端。S0(14脚)、S1(2脚)为地址信号,8脚为GND,16脚为VCC。 3.用74LS151组成16选1数据选择器 用低三位A2A1A0作每片74LS151的片内地址码, 用高位A3作两片74LS151的片选信号。当A3=0时,选中74LS151(1)工作, 74LS151(2)禁止;当A3=1时,选中74LS151(2)工作, 74LS151(1)禁止,如下图所示。 图9-4用74LS151组成16选1数据选择器

译码器和数据选择器

实验四译码器及其应用 一、实验目的 1.掌握中规模集成译码器的逻辑功能和使用方法 2.熟悉数码管的使用 二、实验原理 译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器可分为通用译码器和显示译码器两类。前者又分为变量译码器和代码变换译码器。 1.变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n个输出端供其使用。而每一个输出所代表的函数对应于n个输入变量的最小项。 以3线-8线译码器74LS138为例进行分析,图4-1(a)、(b)分别为其 逻辑图及引脚排列。其中A2、A1、A0为地址输入端,0Y~7Y为译码输出端,S1、2S、3S 为使能端。 (a) (b) 图4-1 3-8线译码器74LS138逻辑图及引脚排列 表4-1为74LS138功能表 当S1=1,2S+3S=0时,器件使能,地址码所指定的输出端有信号(为0)输出,其 它所有输出端均无信号(全为1)输出。当S1=0,2S+3S=X时,或S1=X,2S+3S=1时,译码器被禁止,所有输出同时为1。 表4-1

二进制译码器实际上也是负脉冲输出的脉冲分配器。若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称多路分配器),如图4-2所示。若在S 1输入端输入数据信息,2S =3S =0,地址码所对应的输出是S 1数据信息的反码;若从2S 端输入数据信息,令S1=1、3S =0,地址码所对应的输出就是2S 端数据信息的原码。若数据信息是时钟脉冲,则数据分配器便成为时钟脉冲分配器。 根据输入地址的不同组合译出唯一地址,故可用作地址译码器。接成多路分配器,可将一个信号源的数据信息传输到不同的地点。 二进制译码器还能方便地实现逻辑函数,如图4-3所示,实现的逻辑函数是 Z =C B A C B A C B A +++ABC 图4-2 作数据分配器 图4-3 实现逻辑函数

实验三_数据选择器

深圳大学实验报告 课程名称数字电路与逻辑设计 实验名称数据选择器 学院信息工程学院 专业 指导教师周小安 报告人李城权学号 2015130156 实验时间 2016-10-26 提交时间 2016-11-9 教务处制

一、实验目的与要求 1.了解和正确使用MSI组合逻辑部件; 2.掌握一般组合逻辑电路的特点及分析、设计方法; 3.学会对所设计的电路进行静态功能测试的方法; 4.观察组合逻辑电路的竞争冒险现象。 二、实验内容与方法 数据选择器是常用的组合逻辑电路之一。它有若干个数据输入端,若干个数据控制端和一个输出端。在控制输入端加上适当的信号,即可从多个数据输入源中将所需要的数据信号选择出来,送到输出端。使用时也可以在控制输入端加上一组二进制编码器程序的信号,使电路按要求输出一串信号,所以它也是一钟可编程序的逻辑部件,也可以用来构造逻辑函数发生器。 如74LS153的逻辑表达式为 Y=A A D+A A D+A A D+A A D 1010 00112103 所以任意给定的三输入变量的逻辑函数军可用4选1数据选择器来实现。 用数据选择器实现单输出函数的方法主要有比较法和图表法。 比较法设计步骤如下: (1)选择接到数据选择端的函数变量。 (2)写出数据选择器输出的逻辑表达式。 (3)将要实现的逻辑函数转换为标准与或表达式。 (4)对照数据选择器输出表达式和待实现函数的表达式,确定数据输入端的值。 (5)连接电路。 图表法设计步骤如下: (1)选择接到数据选择端的函数变量。 (2)画出逻辑函数和数据选择器的真值表。 (3)确定各个数据输入端的值。 (4)连接电路。 三、实验步骤与过程 实验仪器: (1)RXB-1B数字电路实验箱; (2)集成电路74LS00(四2输入与非门)1片、74LS153(双4选1数据选择器)1片。

数字电路实验二

实验2 数据选择器功能测试及设计应用 王玉通信工程 2012117266 一、实验目的 1.掌握中规模集成数据选择器的逻辑功能及测试方法。 2.掌握数据选择器的工作原理及使用方法。 二、实验仪器设备与主要器件 试验箱一个;双踪示波器一台;稳压电源一台。 双4选1数据选择器74LS153;8选1数据选择器74LS151和75LS251. 三、实验原理 能够实现从多路数据中选择一路进行传输的电路叫做数据选择器。数据选择器又称多路选择器,是中规模集成电路中应用非常广泛的组合逻辑部件之一。它是一种与分配器过程相反的器件。它有若干个数据输入端,D0,D1,D2,……,若干个控制输入端A0,A1……和一个或两个输出端Q(或Q非)。当控制输入码A0,A1……具有不同数据组合时,将选择组合码所对应的二进制数Dx输出。由于控制输入端的作用是选择数据输入端的地址,故又称为地址码输入端。 目前常用的数据选择器有2选1、4选1、8选1等多种类型。本实验主要熟悉4选1和8选1数据选择器。 四、实验内容与结果 1.测试74LS153的逻辑功能。 电路如下图: 测试结果为: A0 A1 s1s2Q1 Q2 * * 1 1 0 0 0 0 0 0 1D0 2D0 0 1 0 0 1D1 2D1 1 0 0 0 1D 2 2D2 1 1 0 0 1D3 2D3 2.用多路选择器设计实现一个8421-CD非法码检测电路。使得当输入端为非法码组合时输出1,否则为0.二进制数与BCD码的对应关系如下。写出函数Y的表达式,并进行化简,然后画出电路图,接线调试电路,用发光二极管显示输出结果,观察是否与表2-2-5相符。设

数据选择器及其应用

数据选择器及其应用

物联网工程 郭港国 26 一、实验目的 1、掌握中规模集成数据选择器的逻辑功能及使用方法 2、学习用数据选择器构成组合逻辑电路的方法 二、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择 器的功能类似一个多掷开关,有四路数据D 0~D 3 ,通过选择控制信号 A 1 、A (地 址码)从四路数据中选中某一路数据送至输出端Q。 1、双四选一数据选择器 74LS153 所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。引脚排列如图4-1,功能如表4-1。 表4-1

图4-1 74LS153引脚功能 S1、S2为两个独立的使能端;A1、A0为公用的地址输入端;1D0~1D3和2D0~ 2D 3分别为两个4选1数据选择器的数据输入端;Q 1 、Q 2 为两个输出端。 1)当使能端S1(S2)=1时,多路开关被禁止,无输出,Q=0。 2)当使能端S1(S2)=0时,多路开关正常工作,根据地址码A 1、A 的状态, 将相应的数据D 0~D 3 送到输出端Q。 如:A 1A =00 则选择D O 数据到输出端,即Q=D 。 A 1A =01 则选择D 1 数据到输出端,即Q=D 1 ,其余类推。 数据选择器的用途很多,例如多通道传输,数码比较,并行码变串行码,以及实现逻辑函数等。 2、数据选择器的应用—实现逻辑函数 例:用4选1数据选择器74LS153实现函数:ABC C AB C B A BC A F+ + + = 函数F的功能如表(4-2)所示 表4-2 表4-3

实验二 数据选择器及其应用

实验二数据选择器及其应用 一、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图4-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 图4-1 4选1数据选择器示意图图4-2 74LS151引脚排列 数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。

二、实验目的 1、掌握中规模集成数据选择器的逻辑功能及使用方法; 2、学习用数据选择器构成组合逻辑电路的方法。 三、实验设备与器件 1、+5V直流电源 2、逻辑电平开关 3、逻辑电平显示器 4、74LS151(或CC4512) 74LS153(或CC4539) 四、实验内容 1、测试数据选择器74LS151的逻辑功能。 接图4-7接线,地址端A2、A1、A0、数据端D0~D7、使能端S接逻辑开关,输出端Q接逻辑电平显示器,按74LS151功能表逐项进行测试,记录测试结果。 图4-7 74LS151逻辑功能测试

2、测试74LS153的逻辑功能。 测试方法及步骤同上,记录之。 逻辑功能见下表: 3、用8选1数据选择器74LS151设计三输入多数表决电路。 1)写出设计过程 有三个人进行表决,当其中任意两个人赞同时,输出为真,否则输出为假。真值表如下:

实验三选数据选择器实验报告

实验三选数据选择器实 验报告 集团公司文件内部编码:(TTT-UUTT-MMYB-URTTY-ITTLTY-

实验三、八选一数据选择器 一、实验目的: 1.熟悉Quartus II6.0软件的使用和FPGA设计流程 2.用VHDL语言进行八选一数据选择器的设计 二、实验步骤: 一.建立文件夹:在D盘“xingming”的文件夹下建立一个名为“choice8”的文件夹。 二.建立新工程 1.双击桌面上Quartus II6.0 的图标,启动该软件。 2.通过File => New Project Wizard… 菜单命令启动新项目向导。在 随后弹出的对话框上点击Next按钮,在 What is the working directory for this project 栏目中设定新项目所使用的路径:D:\xingming\choice8;在 What is the name of this project 栏目中输入新项目的名字:choice8,点击 Next 按钮。在下一个出现的对话框中继续点击Next,跳过这步。 3.为本项目指定目标器件:选择器件系列为ACEX1K ,选择具体器件为 EP1K30TC144-3 1728 24576 ,再点击Next。在弹出的下一对话框中继续点击Next ,最后确认相关设置,点击Finish按钮,完成新项目创建。 三.设计输入 1.建立一个VHDL文件。通过 File => New 菜单命令,在随后弹出的对 话框中选择 VHDL File选项,点击 OK 按钮。通过 File => Save As 命令,将其保存,并加入到项目中。 2.在VHDL界面输入8选1数据选择器程序,然后通过File => Save

数电 实验四 数据选择器及其应用 实验报告

实验四数据选择器及其应用 一、实验目的 1.掌握中规模集成数据选择器的逻辑功能及使用方法 2.学习用数据选择器构成组合逻辑电路的方法 二、实验设备与器件 1.+5V直流电源 2.逻辑电平开关 3.逻辑电平显示器 4.74LS151 三、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图7-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输们开关和门电路混合而成的。 八选一数据选择器74LS151 74LS151为互补输出的8选1数据选择器,引脚排列如图4-2,功能如表4-1。 选择控制端(地址端)为A2~A0,按二进制姨妈,从8个输入数据D0~D7中,选择一个需要的数据送到输出端A, S为使能端,低电平有效。

1)使能端?S=1时,无论A2~A0状态如何,均无输出(Q=0,?Q=1),多路开关被禁止。2)使能端S=0时,多路开关正常工作。根据地址码A1、A2、A3的状态选择D0~D7中某一个通道的数据输送到输出端Q。 此处以A2A1A0=010为例,则选择D2数据到输出端,即Q=D2。 D2为0,?Q亮。D2为1,Q亮。 使能端为1,D2为1,?Q亮。使能端为1,D2变为0,?Q仍然亮。

74LS151功能测试结果表4-1 实现逻辑函数F(AB)=A?B+?AB+A B 设计过程:逻辑表

实验三译码器及其应用、数据选择器及其应用

实验三译码器及其应用、数据选择器及其应用 一、实验目的 1 ?掌握采用中规模集成器件进行组合逻辑电路设计、电路连接及测试的方法. 2 ?用实验验证所设计电路的逻辑功能. 二、实验设备与器件 1.电子学实验装置 2.集成块74LS20、74LS00、74LS138、74LS151、74LS153。 三、实验原理 中规模集成器件多数是专用的功能器件,具有某种特定的逻辑功能,采用这些功能器件实现组合逻辑函数,基本 方法是采用逻辑函数对比法. 中规模集成器件多数都带有控制端(片选端),例如译码器74LS138有三个附加控制端S B、S C和S A,当S A=1、 S B= S C =0时,译码器才被选通工作,否则,译码器被禁止,所有的输出端被封锁在高电平?利用片选可将多片连接 起来以扩展译码器的功能. 在一般情况下,使用译码器和附加的门电路实现多输出逻辑函数较方便,使用数据选择器实现单输出逻辑函数较方便,当逻辑函数输出为输入变量相加时,则采用全加器实现较为方便. 1 ?译码器 一个n变量的译码器的输出包含了n变量的所有最小项.例如3线/8线译码器(74LS138)的8个输出包含了3个变 量的全部最小项的译码?参见模拟电子技术基础教材中3线/8线译码器功能表. 用n变量译码器加上输出与非门电路,就能获得任何形式的输入变量不大于n的组合逻辑电路. 2 ?数据选择器 一个n个地址端的数据选择器, 具有2n个数据选择的功能.例如,数据选择器74LS151, n=3,可完成八选一的功能?参见附录中八选一数据选择器(74LS151)的真值表.由真值表可写出: 丫A2AA0D0 A2AA0D1A 2 Al A o D 2 A? A1A0D 3 A2A A0D 4 A2A A0D 5 A2 A A) A2AA0D7 数据选择器又称多路开关,其功能是把多路并行传输数据选通一路送到输出线上. 四、实验内容 1 ?三输入变量译码器功能测试 地址输入端AA1A0是一组三位二进制代码,其中A权最高,A o权最低,按实验电路图3-1接线,将实验结果填入

实验二 数据选择器

实验二数据选择器 院系:信息科学与技术学院 专业:电子信息工程 姓名:刘晓旭 学号:2011117147

一.实验目的 1.掌握中规模集成数据选择器的逻辑功能及测试方法。 2.学习数据选择器的使用方法。 二.实验仪器及器材 稳压电源,数字多用表,四选一数据选择器74LS153,八选一数据选择器74LS151。 三 .实验原理 数据选择器又称多路选择器,是中规模集成电路中应用非常广泛的组合逻辑部件之一。它有若干个数据输入端D0 ,D1......,若干个控制输入端A0 ,A1 ......和一个两个输出Q,Q’。当A0,A1......数据不同时,将选择与其相应的输入控制端D X输出,由于控制输入端的作用是选择数据输入端的地址,故又称为地址输入端。 四.实验内容 1.利用逻辑电平产生电路和逻辑电平指示电路测试74LS153的逻辑功能,验证是否和功能表一致。 实验目的:利用逻辑电平产生电路和逻辑电平指示电路测试74LS153的逻辑功能,验证是否和功能表一致。 实验器材:直流电压源,开关,74LS153,电灯,逻辑控制开关 实验内容:测试74LS153的逻辑功能 74LS153为两个四选一数据选择器,S1’,S2’是每一个选择器的选通输入端,低电平有效。 A0,A1为公共的控制输入信号。1D0,1D1....1D3,2D0,2D1...2D3分别是每一选择器的数据输入端。 电路如图1 图1

74LS153的逻辑功能表2.1 注:测试过程中1G,2G 始终接地。当A,B 为00.01,10,11不同情况时,分别对应于1C 0, 2C 0; 1C 1,, 2C 1; 1C 2, 2C 2; 1C 3, 2C 3;的开关接上高电平,灯泡会发光,从真值表所列的功能来看,74LS153符合其逻辑功能。 2.设计一位二进制数A 和B 的比较器。 实验目的:用74LS153设计出一位二进制数A 和B 的比较器。 实验器材:74LS153,单刀双掷开关,直流电源,灯泡。 实验内容:当接至高电平时代表1,接至低电平时代表0; A>B 时,x1亮;AB I ,则地址码为 01,根据电路图看出Y 1Y 2=10;若A I

实验三译码器及其应用、数据选择器及其应用

实验三 译码器及其应用、数据选择器及其应用 一、实验目的 1.掌握采用中规模集成器件进行组合逻辑电路设计、电路连接及测试的方法. 2.用实验验证所设计电路的逻辑功能. 二、实验设备与器件 1.电子学实验装置 2.集成块74LS20、74LS00、74LS138、74LS151、74LS153。 三、实验原理 中规模集成器件多数是专用的功能器件,具有某种特定的逻辑功能,采用这些功能器件实现组合逻辑函数,基本方法是采用逻辑函数对比法. 中规模集成器件多数都带有控制端(片选端),例如译码器74LS138有三个附加控制端B S 、C S 和A S ,当A S =1、 B S = C S =0时,译码器才被选通工作,否则,译码器被禁止,所有的输出端被封锁在高电平.利用片选可将多片连接 起来以扩展译码器的功能. 在一般情况下,使用译码器和附加的门电路实现多输出逻辑函数较方便,使用数据选择器实现单输出逻辑函数较方便,当逻辑函数输出为输入变量相加时,则采用全加器实现较为方便. 1.译码器 一个n 变量的译码器的输出包含了n 变量的所有最小项.例如3线/8线译码器(74LS138)的8个输出包含了3个变量的全部最小项的译码.参见模拟电子技术基础教材中3线/8线译码器功能表. 用n 变量译码器加上输出与非门电路,就能获得任何形式的输入变量不大于n 的组合逻辑电路. 2.数据选择器 一个n 个地址端的数据选择器,具有2n 个数据选择的功能.例如,数据选择器74LS151,n=3,可完成八选一的功能.参见附录中八选一数据选择器(74LS151)的真值表.由真值表可写出: 21002101210221032104210521062107Y A A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A D =+++++++ 数据选择器又称多路开关,其功能是把多路并行传输数据选通一路送到输出线上. 四、实验内容 1.三输入变量译码器功能测试 地址输入端A 2A 1A 0是一组三位二进制代码,其中A 2权最高,A 0权最低,按实验电路图3-1接线,将实验结果填入功能表3-1中.

实验二数据选择器

实验二数据选择器功能测试及设计应用 实验目的 1、掌握中规模集成数据选择器的逻辑功能及测试方法。 2、掌握数据选择器的使用方法。 一、实验仪器及器材 稳压电源、实验箱一个、双四选数据选择器74LS153、八选一数据选择器74LS151。 二、实验原理 1、4选1数据选择器 中规模集成电路74LS153为双四选数据选择器,其逻辑符号如图2-2-1所示,其中,1S、S分别为两个数据选择器的选通输入端,低电平有效。A0,、A1为公告控制输入端地址端,2 1D0、1D1、1D2、1D3与2D0、2D1、2D2、2D3分别为两个数据选择器的数据输入端,其功能表如表2-2-1所示。 有功能表得逻辑表达式: () =+++ Q A A D A A D A A D A A D S 110101011101210131 () =+++ 210201021102210232 Q A A D A A D A A D A A D S 2、8选1数据选择器74LS151 74LS151是常用的8选1数据选择器,用于各种数字电路和单片机系统的显示电路中。其功能如表2-2-2所示。 表2-2-2 74LS151的功能表

其中,S 为数据选择器的选通端,低电平有效。A0、A1、A2为地址码,D0~D7为数据输入端。 3、数据选择器的应用 (1)多路信号共用一个通道(总线)传输。 (2)变并行码为串行码。 (3)转换4位二进制码为补码。 (4)组成数码比较电路。 (5)实现逻辑函数。 三、实验内容 1,利用逻辑电平产生电路和逻辑电平指示电路测试74LS153的逻辑功能,验证是否和逻辑功能表一致。 1、根据题目可画出电路图为:

实验2 数据选择器功能测试及设计应用

实验2 数据选择器功能测试及设计应用专业:通信工程学号:2012119032 姓名:余海东 2.21 实验目的 1.掌握中规模集成数据选择器的逻辑功能及测试方法。 2.掌握数据选择器的工作原理及使用方法。 2.2.2 实验仪器设备与主要器件 试验箱一个;双踪示波器一台;稳压电源一台。 双4选1数据选择器74LS153;8选1数据选择器74LS151和75LS251. 2.2.3 实验原理 能够实现从多路数据中选择一路进行传输的电路叫做数据选择器。数据选择器又称多路选择器,是中规模集成电路中应用非常广泛的组合逻辑部件之一。它是一种与分配器过程相反的器件。它有若干个数据输入端,D0,D1,D2,……,若干个控制输入端A0,A1……和一个或两个输出端Q(或Q非)。当控制输入码A0,A1……具有不同数据组合时,将选择组合码所对应的二进制数Dx输出。由于控制输入端的作用是选择数据输入端的地址,故又称为地址码输入端。 目前常用的数据选择器有2选1、4选1、8选1等多种类型。本实验主要熟悉4选1和8选1数据选择器。下面分别介绍它们的逻辑功能。 2.24 实验内容 1.测试74LS153的逻辑功能,验证是否和表2-2-1的功能一致。 仿真图:

2.用多路选择器设计实现一个8421-CD非法码检测电路。使得当输入端为非法码组合时输出1,否则为0.二进制数与BCD码的对应关系如下。写出函数Y的表达式,并进行化简,然后画出电路图,接线调试电路,用发光二极管显示输出结果,观察是否与表2-2-5相符。设Y=0表示发光二极管熄灭,Y=1表示发光二极管点亮。 二进制数与BCD码的对应关系 二进制B BCD码 B3 B2 B1 B0 Q3 Q2 Q1 Q0 Y 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 1 0 0 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 0 0 1 1 0 0 1 1 0 0 0 1 1 1 0 1 1 1 0 1 0 0 0 1 0 0 0 0 1 0 0 1 1 0 0 1 0 1 0 1 0 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1 0 0 0 0 1 0 1 1 1 0 1 0 0 1 1 1 1 1 1 0 0 1 0 0 1 1 1 1 1 0 1 0 1 1 表达式: Y=B3(B2B1B0+B2B1B0ˊ+ B2B1ˊB0+ B2B1ˊB0ˊ+ B2ˊB1ˊB0ˊ+ B2ˊB1B0ˊ)由题示真值表化简得Y=B3B2+B3B1 将74LS151的三个地址输入端A、B、C接B1、B2 、B3,将输入D5、D6、D7接1,其他都接0. 仿真图:

数据选择器及其应用解读

实验五数据选择器及其应用 [实验目的] 1、掌握中规模集成数据选择器的逻辑功能及使用方法。 2、学习用数据选择器构成组合逻辑电路的方法。 [实验原理] 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图4-5-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。 图4-5-1 4选1数据选择器示意图图4-5-2 74LS151引脚排列 表4-5-1 1、8选1数据选择器74LS151 74LS151为互补输出的8选1数据选择器,引脚排列如图4-5-2,功能如表4-5-1。 选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择1个需要的数据送到输出端Q,S为使能端,低电平有效。 (1)使能端S——=1时,不论A2~A0状态如何,均无输出(Q=0,Q——=1),多路开关被禁止。 (2)使能端S——=0时,多路开关正常工作,根据地址码A2、A1、A0的状态选择D0~D7中

某一个通道的数据输送到输出端Q 。 如:A 2A 1A 0=000,则选择D 0数据到输出端,即Q=0。 如:A 2A 1A 0=001,则选择D 1数据到输出端,即Q=D 1,其余类推。 2、双四选一数据选择器74LS153 所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。74LS153的引脚排列如图4-5-3,功能如表4-5-2。 表4-5-2 图4-5-3 74LS153引脚功能 1S —— 、2S —— 为两个独立的使能端,A 1、A 0为公用的地址输入端;1D 0~1D 3和2D 0~2D 3 分别为两个4选1数据选择器的数据输入端;Q 1、Q 2为两个输出端。 (1)当使能端1S —— (2S —— )=1时,多路开关被禁止,无输出,Q=0. (2)当使能端1S —— (2S —— )=0时,多路开关正常工作,根据地址码A 1、A 0的状态,将相应的数据D 0~D 3送到输出端Q 。 如:A 1A 0=00,则选择D 0数据到输出端,即Q=D 0。 A 1A 0=01,则选择D 1数据到输出端,即Q=D 1,其余类推。 数据选择器的用途很多,例如多通道传输、数码比较、并行码变串行码以及实现逻辑函数等。 3、数据选择器的应用-实现逻辑函数 例1:用8选1数据选择器74LS151实现函数F=AB — +A — B (1)列出函数F 的功能表如表4-5-4所示。 (2)将A 、B 加到地址端A 1、A 0,而A 2接地,由表4-5-3可见,将D 1、D 2接“1”及D 0、D 3接地,其余数据输入端D 4~D 7都接地,则8选1数据选择器的输出Q ,便实现了函数 F=AB — +A — B 接线图如图4-5-4所示。 表4-5-3 图4-5-4 8选1数据选择器实现F=AB — +A — B 的接线图 显然,当函数输入变量数小于数据选择器的地址端(A)时,应将不用的地址端及不用的数据输入端(D)都接地。 例2:用双4选1数据选择器74LS153实现函数F= A — BC + AB — C +ABC — +ABC 函数F 的功能如表4-5-4所示。

实验三 译码器、数据选择器及其应用

实验三译码器、数据选择器及其应用一、实验目的 1.熟练掌握集成译码器、数据选择器的工作原理、逻辑功能。2.熟练掌握集成译码器、数据选择器实现某些逻辑函数。 二、实验器件 1、3线-8线译码器74LS138×1 2、8选1数据选择器74LS151×1 3、4输入二与非门74LS20×1 4、六反相器74LS04×1 三、实验内容 1、74LS138的功能测试 (1)、74LS138引脚图: (2)、74LS138功能表:

注:2G =G 2A +G 2B 2、74LS138用作逻辑函数发生器 (1)、用74LS138和门电路实现逻辑函数 F=AB+AC+BC 实验步骤: 将逻辑函数转化为最小项逻辑表达式 画卡诺图: 由卡诺图得到:F=A BC+A B C+AB C +ABC=Σm (3,5,6,7) =7.6.5.3m m m m =7.6.5.3Y Y Y Y 用一片74LS138和一片74LS20搭建电路:

(2)、用74LS138和门电路实现逻辑函数F=A BC+A B C+AB C(判偶电路) (3)、用74LS138和门电路设计一个全加器 3、74LS151功能测试 (1)、74LS151引脚图: (2)、74LS151功能表:

4、74LS151和门电路实现逻辑函数 (1)、用74LS151和门电路实现逻辑函数F=AB+AC+BC 实验步骤: 将逻辑函数转化为最小项逻辑表达式 画卡诺图: 由卡诺图得到:F=A BC+A B C+AB C+ABC=Σm(3,5,6,7) =m0.0+m1.0+m2.0+m3.1+m4.0+m5.1+m6.1+m7.1 74LS151输出Y=m0.D0+m1.D1+m2.D2+m3.D3+m4.D4+m5.D5+m6.D6+m7.D7若令F=Y,A=C,B=B,C=A 则D0= D1= D2= D4=0 D3= D5= D6= D7=1 根据以上分析,画出电路图: (2)、用数据选择器74LS151实现函数F=Σm (0,2,7,8,13)。 F=A B C D+A(B C D)+A(BCD)+A B C D+A(B C D) =(A+A)B C D+ A(B C D)+A(BCD)+A(B C D) =1.m0+A.m2+A. m5+A m7

实验四数据选择器及其应用

实验四数据选择器及其应用 以下是为大家整理的实验四数据选择器及其应用的相关范文,本文关键词为实验,数据,选择器,及其,应用,实验,数据,选择器,及其,应,您可以从右上方搜索框检索更多相关文章,如果您觉得有用,请继续关注我们并推荐给您的好友,您可以在教育文库中查看更多范文。 实验四数据选择器及其应用 一、实验目的 1、掌握中规模集成数据选择器的逻辑功能及使用方法 2、学习用数据选择器构成组合逻辑电路的方法

二、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图4-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 图4-14选1数据选择器示意图图4-274Ls151引脚排列 表4-1输入s输出A0×01010101Q0D0D1D2D3D4D5D6D7QA2×00001111A1×00110011100 0000001D0D1D2D3D4D5D6D7数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、 16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。 1、八选一数据选择器74Ls151 74Ls151为互补输出的8选1数据选择器,引脚排列如图4-2,功能如表4-1。 选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Q,s为使能端,低电平有效。 1)使能端s=1时,不论A2~A0状态如何,均无输出(Q=0,Q=1),多路开关被禁止。

实验三-数据选择器译码器全加器

实验三:数据选择器和译码器应用 1. 能力培养目标 ● 理解数据选择器和译码器的逻辑功能 ● 运用数据选择器和译码器的逻辑关系设计实际应用 2. 项目任务要求 (1)测试4选1数据选择器的逻辑功能,通过示波器观测每种组合下数据选择器的输出波形 (2)测试2-4线译码器的逻辑功能 (3)将2-4线译码器扩展组成3-8线译码器,利用两个2-4线译码器扩展组成3-8线译码器 (4)利用2-4线译码器设计并实现组合逻辑电路B A F ⊕=【选做】 3. 项目分析 (1) 数据选择器及主流芯片 数据选择器是一种多输入、单输出的组合逻辑电路,其应用主要包括通过级联进行通道扩展数据输入端的个数;或者配合门电路实现逻辑函数,组成函数发生器。 数据选择器中常见的芯片有双4选1数据选择器74LS153芯片。74LS153中的引脚G 用于控制输出。当G 为高电平时,禁止输出,引脚Y 输出为低电平;当G 为低电平时,允许输出,由数据选择端B 、A 决定C 0、C 1、C 2、C 3中的哪个数据送往数据输出端Y 。 14131211109 161 2 3 4 5 6 7 双4选1数据选择器 74LS153 Vcc 2G A 2C 3 2C 2 2C 1 2C 0 1Y 1G B 1C 3 1C 2 1C 1 1C 0 158 2Y GND

图2-3-1 74LS153引脚结构图 表2-3-1 4选1数据选择器真值表 (2) 译码器及主流芯片 译码器中常见的芯片有双2-4线译码器74LS139,其引脚结构图和真值表分别如下: 14131211109 161 2 3 4 5 6 7 双2-4线译码器 74LS139 Vcc 2G 2A 2B 2Y 0 2Y 1 2Y 2 1Y 3 1G 1A 1B 1Y 0 1Y 1 1Y 2 158 2Y 3 GND 图2-3-2 74LS139引脚结构图 表2-3-2 2-4线译码器真值表 在74LS139中,引脚G 用于控制输出。当G 为高电平时,禁止输出,所有输出Y 0、Y 1、Y 2、Y 3为高电平;当G 为低电平时,允许输出,由数据选择端B 、A 决定输出Y 0、Y 1、Y 2、Y 3中的哪路数据为低电平。

数据选择器及其应用

数据选择器及其应用 物联网工程 郭港国 2015117026

一、实验目的 1、掌握中规模集成数据选择器的逻辑功能及使用方法 2、学习用数据选择器构成组合逻辑电路的方法 二、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择 器的功能类似一个多掷开关,有四路数据D 0~D 3 ,通过选择控制信号 A 1 、A (地 址码)从四路数据中选中某一路数据送至输出端Q。 1、双四选一数据选择器 74LS153 所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。引脚排列如图4-1,功能如表4-1。 表4-1 图4-1 74LS153引脚功能 S1、S2为两个独立的使能端;A1、A0为公用的地址输入端;1D0~1D3和2D0~ 2D 3分别为两个4选1数据选择器的数据输入端;Q 1 、Q 2 为两个输出端。 1)当使能端S1(S2)=1时,多路开关被禁止,无输出,Q=0。 2)当使能端S1(S2)=0时,多路开关正常工作,根据地址码A 1、A 的状态, 将相应的数据D 0~D 3 送到输出端Q。 如:A 1A =00 则选择D O 数据到输出端,即Q=D 。 A 1A =01 则选择D 1 数据到输出端,即Q=D 1 ,其余类推。 数据选择器的用途很多,例如多通道传输,数码比较,并行码变串行码,以

及实现逻辑函数等。 2、数据选择器的应用—实现逻辑函数 例:用4选1数据选择器74LS153实现函数:ABC C AB C B A BC A F+ + + = 函数F的功能如表(4-2)所示 表4-3 函数F有三个输入变量A、B、C,而数据选择器有两个地址端A 1 、A 少于函数输 入变量个数,在设计时可任选A接A 1 ,B接A 。将函数功能表改画成表(4-3)形式,可见当将输入变量A、B、C中 A、B接选择器的地址端A 1 、A ,由表 (4-3)不难看出: D =0, D 1 =D 2 =C, D 3 =1 则4选1数据选择器的输出,便 实现了函数ABC C AB C B A BC A F+ + + = 接线图如图(4-3)所示。 图4-3 用4选1数据选择器实现ABC C AB C B A F+ + + 当函数输入变量大于数据选择器地址端(A)时,可能随着选用函数输入变量作地址的方案不同,而使其设计结果不同,需对几种方案比较,以获得最佳方

相关文档
最新文档