M序列发生器的设计与实现毕业论文

合集下载

基于M序列的通信加密系统设计

基于M序列的通信加密系统设计

目录一、系统框图 (2)二、m序列 (2)1.m序列简介 (2)2.m序列的产生 (3)3.m序列的随机性质 (3)4.m序列的加密与解密 (4)三、曼彻斯特编码解码 (4)1.曼彻斯特码简介 (4)2.曼彻斯特编码 (4)3.曼彻斯特解码 (5)四、系统设计及实现过程 (6)1.Multisim仿真图 (6)2.具体模块 (6)3.仿真结果 (9)4.存在的问题 (10)五、总结 (10)六、参考文献 (10)基于M序列的通信加密系统设计摘要:m 序列是目前广泛应用的一种伪随机序列,其在通信领域有着广泛的应用,如扩频通信,卫星通信的码分多址,数字数据中的加密、加扰、同步、误码率测量等领域。

本文介绍了使用m序列对给定数字信号进行加密解密以及曼彻斯特编码解码。

该通信加密系统设计使用multisim软件进行仿真。

关键词:m序列加密曼彻斯特译码1 系统框图图1 系统框图本通信系统首先利用频率为100kHz数字信号产生一个周期为15的m序列,与将要进行加密的频率为50kHz的数字信源进行模二运算即加密过程,对加密后的序列进行曼彻斯特编码,即与另一数字信号进行模二运算,按照前面的理论分析,此数字信号频率设置为100kHz,得到编码序列。

然后进行解码、解密,分别为编码与加密的逆过程。

二、m序列1.m序列简介如果一个序列,一方面它是可以预先确定的,并且是可以重复地生产和复制的;一方面它又具有某种随机序列的随机特性(即统计特性),我们便称这种序列为伪随机序列。

伪随机序列是具有某种随机特性的确定的序列。

它们是由移位寄存器产生确定序列,然而他们却具有某种随机特性的随机序列。

因为同样具有随机特性,无法从一个已经产生的序列的特性中判断是真随机序列还是伪随机序列,只能根据序列的产生办法来判断。

伪随机序列系列具有良好的随机性和接近于白噪声的相关函数,并且有预先的可确定性和可重复性。

m序列是一种典型的伪随机序列、伪噪声(PN)码或伪随机码。

南昌大学M序列信号发生器实验报告

南昌大学M序列信号发生器实验报告

南昌大学信息工程学院M序列信号发生器课程设计班级:姓名:学号:基于MULTISIM的序列信号发生器实验目的实验要求实验元件实验原理MLTISIM知识简介MLTISIM中仿真仪器实验设计仿真分析仿真电路示波器显示输出波形实验结果实验结论实验感想一、实验目的:1、掌握M序列信号产生的基本方法2、利用MULTISIM产生M序列信号,设计电路做成M序列信号发生器3、掌握M序列 0 状态消除的基本手段二、实验要求:在MULTISIM中采用移存器自启动电路设计仿真M=31序列信号发生器电路,采用虚拟逻辑分析仪观察波形输出。

要求自制时钟脉冲信号,并能清楚地观察到M序列稳定的波形。

采用EDA进行图形仿真,硬件电路来实现。

三、实验元件函数发生器,双端输入示波器,74LS30,74LS164,74LS005V直流电源四、实验原理1、MULTISIM 软件的简介在众多的 EDA 设计和仿真软件中,MULTISIM 软件以其强大的仿真设计应用功能,在各高校电信类专业电子电路的仿真和设计中得到了较广泛的应用。

软件及其相关库包的应用对提高学生的仿真设计能力,MULTISIM更新设计理念有较大的好处。

MULTISIM(电子工作平台)软件,最突出的特点是用户界面好,各类器件和集成芯片丰富,尤其是其直观的虚拟仪表是 MULTISIM 软件的一大特色。

它采用直观的图形界面创建电路:在计算机屏幕上模仿真实实验室的工作台,绘制电路图需要的元器件、电路仿真需要的测试仪器均可直接从屏幕上选取。

MULTISIM 软件所包含的虚拟仪表有:示波器,万用表,函数发生器,波特图图示仪,失真度分析仪,频谱分析仪,逻辑分析仪,网络分析仪等。

这些仪器的使用使仿真分析的操作更符合平时实验的习惯。

电子设计自动化(EDA)技术,使得电子线路的设计人员能在计算机上完成电路的功能设计、逻辑设计、性能分析、时序测试直至印刷电路板的自动设计。

是在计算机辅助设计EDA(CAD)技术的基础上发展起来的计算机设计软件系统。

伪随机序列发生器设计

伪随机序列发生器设计

实验七伪随机序列发生器设计一、实验目的1.掌握伪随机序列(m序列)发生器的基本原理和设计方法;2.深入理解VHDL中signal和variable的不同及其应用;二、设计描述及方法1.伪随机序列概述在扩展频谱通信系统中,伪随机序列起着十分关键的作用。

在直接序列扩频系统得发射端,伪随机序列将信息序列的频谱扩展,在接收端,伪随机序列将扩频信号恢复为窄带信号,进而完成信息的接收。

m序列又称为最长线形反馈移位寄存器序列,该序列具有很好的相关性能。

m序列发生器的基本结构为:其中(C r,C r-1,…,C0)为反馈系数,也是特征多项式系数。

这些系数的取值为“1”或“0”,“1”表示该反馈支路连通,“0”表示该反馈支路断开。

下图为实际m序列发生器的电路图:图中利用D触发器级联的方式完成移位寄存器的功能。

在系统清零后,D触发器输出状态均为低电平,为了避免m序列发生器输出全“0”信号,图中在“模二加”运算后添加了一个“非门”。

从图中A、B、C、D四个节点均可得到同一m序列,只是序列的初始相位不同。

特征多项式系数决定了一个m序列的特征多项式,同时也决定了一个m序列。

下表给出了部分m 序列的反馈系数(表中的反馈系数采用八进制表示)2.电路设计基本方法本实验要设计一个寄存器级数为5的m序列发生器,从m序列发生器反馈系数表可知,有三个反馈系数可选,即可以产生三种不同的m序列;在以下设计的接口描述中choice(1 downto0)为m序列选择输入信号,clk为时钟输入信号,reset为复位信号,psout为m序列输出信号。

三、程序代码library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity mps isport (clk,reset : in std_logic;choice : in std_logic_vector(1 downto 0);psout: out std_logic );end mps;architecture behave of mps issignal a :std_logic_vector(4 downto 0);signal k:std_logic;beginprocess(clk,reset,choice,a)begincase choice iswhen "00"=> k<=not(a(3) xor a(2));when "01"=> k<=not(a(4) xor a(3)xor a(2)xor a(0));when "10"=> k<=not(a(4) xor a(2)xor a(1)xor a(0));when others =>k<='X';end case;if clk'event and clk='1' thena(0)<=k;for i in 1 to 4 loopa(i)<=a(i-1);end loop;end if;if(reset='1') thena<="00000";end if;end process;psout<=a(4);end behave;四、功能仿真五、RTL级电路。

扩频通信中M序列的产生及其应用

扩频通信中M序列的产生及其应用
图2-1直接序列扩频通信系统简化图
2.3.2
跳频扩频技术是通过伪随机码的调制,使载波工作的中心频率不断跳跃改变,而噪声和干扰信号的中心频率却不会改变。这样只要收、发信机之间按照固定的数字算法产生相同的伪随机码,就可以达到同步,排除噪音和其他干扰信号。频率跳变系统主要由码产生器和频率合成器两部分组成。快速响应的频率合成器是频率跳变系统的关键部件。频率跳变系统的发射机在一个预定的频率集中由码序列控制频率合成器,使发射频率随机地由一个跳到另一个。接收机中的频率合成器也按相同的顺序跳变,产生一个与发射频率只差一个中频的本振频率,经混频后得到一个频率固定的中频信号。这一中频信号经放大后送到解调器取出传送的信息
Key words:Spread spectrum communication;Random sequence;Correlation;Simulation

1.1 PN
PN伪随机码也称为伪噪声,本论文中M序列就属于PN码的一种,在扩频通信中的扩频与解扩部分采用相应的PN码制,不同的PN码对系统的影响也不同,简单的说来周期较短的伪码扩频以后所占频谱较窄,但是其抗干扰能力也相对较弱,如果需要获得很好的系统性能,在伪码周期选择上既要保证信号频谱不太宽也要考虑到期抗干扰能力。伪码序列可以人为产生与复制,具有类似白噪声的性质,相关函数具有尖锐的特性,功率谱占据很宽的频带,易于从其他信号或干扰中分离出来,具有优良的抗干扰特性在本文中选用n=7的M序列来研究其产生和扩频通信系统中的性能。
C=Blog2(1+S/N)(2-1)
这个公式指示出:如果信息传输速率C不变,则带宽B和信噪比P/N是可以互换的,就是说增加带宽就可以在较低的信噪比的情况下以相同的信息率来可靠的传输信息,甚至在信号被噪声淹没的情况下,只要相应的增加信号带宽,仍然保持可靠的通信,也就是可以用扩频方法以宽带传输信息来换取信噪比上的好处[1]。

M序列发生器设计实验指导书

M序列发生器设计实验指导书

M序列发生器设计实验一、实验原理:M序列码也称伪随机序列码,其主要特点是:(1)每个周期中,“1”码出现2n-1次,“0”码出现2n-1次,即0、1出现概率几乎相等。

(2)序列中连1的数目是n,连0的数目是n-1。

(3)分布无规律,具有与白噪声相似的伪随机特性。

由于具有这些特点,m序列码在通信、雷达、系统可靠性测试等方面获得了广泛地应用。

m序列码发生器是一种反馈移位型结构的电路,它由n位移位寄存器加异或反馈网络组成,其序列长度M=2n-1,只有一个多余状态即全0状态,所以称为最大线性序列码发生器。

由于其结构已定型,且反馈函数和连接形式都有一定的规律,因此利用查表的方式就设计出m 序列码。

列出部分m序列码的反馈函数F和移存器位数n的对应关系。

如果给定一个序列信号长度M,则根据M=2n-1求出n,由n查表便可以得到相应的反馈函数F。

二、基于DSP Builder 的设计M 序列发生器可由线性反馈寄存器(Linear Feedback Shift Registers,LFSR )来产生,如图1所示。

图1 线性反馈移位寄存器的构成其特征多项式可表示为:∑==ni i i x C x F 1)(在图1中涉及的乘法和加法都是指模二运算的乘法和加法,即逻辑与和逻辑或。

要产生最长的线性反馈移位寄存器序列的n 级移位寄存器,其特征多项式必须是n 次本原多项式。

例如n=5,可以生成M 序列的5级LFSR 的特征多项式,即:125++x x ,此式可生成的M 序列的周期为:125-。

下面以M 序列发生器模型125++x x 为例,利用DSP Builder 构建一个伪随机序列发生器。

图2显示了上式的DSP Builder 模型表述,这里采用相连的延时单元级作为移位寄存器,用异或(XOR )完成模二加运算,输出为Output 。

图2 M 序列发生器模型但应注意,图2所示的电路一般无法正常工作。

这是由于在DSP Builder 默认的延时单元在开始工作时,存储内容为0,而对于M 序列来说,起始序列为全0,那么根据多项式,输出序列也将为0.全0序列不是正常的M 序列。

m序列的构造原理及方法

m序列的构造原理及方法

合肥工业大学硕士学位论文M序列的构造原理及方法姓名:***申请学位级别:硕士专业:计算数学指导教师:***2003.4.1M序列的构造原理及方法揍要deBruijn序列是一类最长的非线性移位寄存嚣廖列,也拣它为M序列。

它在密码学、电汛学等很多领域中有着广泛的威用,因此,如何有效地生成这类序列是一个很有意义的问题。

本文觚生成橱法、剪接法、并匿法等螽度探讨了M痔剜的构造藏理与方法。

著利蹋蓑匿滋,在缝轮换移豫寄存嚣斡状态躁中,定义了圈懿“夫妻数”,势裂用·r失妻数”的特性,给出了2元M序列的一个新的生成算法。

&算法能生成2”帆”个n级械其中…““’,∞=n-21-6-MI2Tg(n216-腊11乒25““”个n级序列,其中≤s≤,,s)兰二型.=旦I4关键溺:垒畿掰,、并蕊,、获态窝,、稀获态ThePrinciplesandMethodsofConstructingMSequencesAbstractdeBmijnsequences,ie.Msequences,atethelongestnonlinearshiftregistersequences.Theycanbeusedinmanyfieldssuchascryptography、telecommunications.So,itissuchasignificationquestiontofindhowtoconstructthissequences.ThispaperstudiessomeprinciplesandmethodsofconstructingMsequences,bygeneratingspanningtrees,orusingCUt-joinmethod,orjoiningthecyclesofthefactorofG,,Furthermore,thepairsofcyclesofGaredefinedByuseofthecharacterP。

m序列

m序列

在所有的伪随机序列中,m 序列是最重要、最基本的一种伪随机序列,在定时严格的系统中,我们可以采用m 序列作为地址码,利用它的不同相位来区分不同用户,目前的CDMA 蜂窝系统中就是采用这种方法。

另外还有一种伪随机序列:Gold 码,是由m 序列引出的。

m 序列是最简单,最容易实现的一种周期性伪随机序列,又被称作最长线性移位寄存器序列,它是由带线性反馈的移存器产生的周期最长的一种序列。

它的周期是21n P =-,n 是移位寄存器的级数。

m 序列是一伪随机序列,具有与随机噪声类似的尖锐自相关特性,但它不是真正随机的,而是按一定的规律形式周期性地变化。

由于m 序列容易产生、规律性强、有许多优良的特性,在扩频通信和码分多址系统中最早获得广泛的应用。

m 序列的发生器是由移位寄存器、反馈抽头及模2加法器组成的。

产生m 序列的移位寄存器的网络结构不是随意的,必须满足一定的条件。

图7-7是一个由三级移位寄存器构成的m 序列发生器。

输出c T 时钟脉冲图7-7 m 序列产生电路m 序列有许多优良的特性,但在移动通信系统的应用中主要关心的是它的随机性和自相关特性。

m 序列的自相关特性m 序列的自相关特性在第四章相关部分有较详细的叙述,这里只作简单介绍。

对于一个周期为21n P =-的m 序列{}n a (n a 取值1或0),其自相关函数如图7-8所示。

由图可见,当0τ=时,m 序列的自相关函数()a R τ出现峰值1;当τ偏离0时,相关函数曲线很快下降;当11P τ≤≤-,相关函数值为1/P -;当P τ=时,又出现峰值;如此周而复始。

当周期P 很大时,m 序列的自相关函数与白噪声类似。

这一特性很重要,相关检测就是利用这一特性,在‘有’或‘无’信号相关函数值的基础上识别信号,检测自相关函数值为1的码序列。

τt/图7-8 m序列自相关函数图7-8所示电路产生的m序列的自相关特性如表7-1所示。

移位数序列一致码元数A不一致码元数D A—D 1011100134-1 2101110034-1 3010111034-1 4001011134-1 5100101134-1 6110010134-1 01110010707表7-1 基准序列:1110010。

基于74LS194的m序列发生器设计

基于74LS194的m序列发生器设计

基于74LS194的m序列发生器设计
吴海涛;梁迎春;陈英俊
【期刊名称】《肇庆学院学报》
【年(卷),期】2010(031)005
【摘要】在m序列产生原理的基础上,利用2片74LS194级联及少量门电路,采用手动置数和自启动2种方法设计了2种m序列发生器电路,然后分析比较了2种电路的产生原理.最后分析了实验结果,验证了m序列的均衡性、游程分布特性及移位相加性.电路性能稳定可靠,已作为数字信号源成功应用于通信原理实验中.
【总页数】5页(P11-15)
【作者】吴海涛;梁迎春;陈英俊
【作者单位】肇庆学院电子信息与机电工程学院,广东肇庆526061;肇庆学院电子信息与机电工程学院,广东肇庆526061;肇庆学院电子信息与机电工程学院,广东肇庆526061
【正文语种】中文
【中图分类】TN911.1
【相关文献】
1.基于SystemView的4位m序列发生器数学模型的分析及电路设计 [J], 陈军
2.基于FPGA的m序列发生器的设计 [J], 余波;熊力维
3.基于FPGA可配置m序列发生器的设计与实现 [J], 晏浩文;陈伟;吴琼;黄庆超;刘建国;祝宁华
4.基于FPGA的m序列发生器设计与实验 [J], 翁玲; 陈长江; 徐行; 李卓林; 彭睿
5.基于FPGA的m序列发生器的设计 [J], 余波;熊力维
因版权原因,仅展示原文概要,查看原文内容请购买。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

1 引言本文的主要内容是移位寄存器74LS194的研究和m序列码发生器的产生原理以及基于MAX+PLUS II、Protel 99SE软件的实现。

m序列码发生器的产生原理和实现是CDMA通信中的核心,具有重要的理论价值和广阔的应用前景。

文中基于对74LS194移位寄存器的研究,对伪随机序列的特性及对m序列发生器的结构进行了分析,运用MAX+PLUS II的模拟仿真和Protel 99SE进行印刷电路板设计,验证其正确性,最终产生m序列码。

1.1 研究此课题的目的伪随机序列系列具有良好的随机性和接近于白噪声的相关函数,并且有预先的可确定性和可重复性。

这些特性使得伪随机序列得到了广泛的应用。

1.2 伪随机序列的应用和意义1.2.1在通信加密中的应用m序列自相关性较好,容易产生和复制,而且具有伪随机性,利用m序列加密数字信号使加密后的信号在携带原始信息的同时具有伪噪声的特点,以达到在信号传输的过程中隐藏信息的目的;在信号接收端,再次利用m序列加以解密,恢复出原始信号[1]。

1.2.2 在雷达信号设计中的应用近年兴起的扩展频谱雷达所采用的信号是已调制的具有类似噪声性质的伪随机序列,它具有很高的距离分辨力和速度分辨力。

这种雷达的接收机采用相关解调的方式工作,能够在低信噪比的条件下工作,同时具有很强的抗干扰能力。

该型雷达实质上是一种连续波雷达,具有低截获概率性,是一种体制新、性能高、适应现代高技术战争需要的雷达。

采用伪随机序列作为发射信号的雷达系统具有许多突出的优点。

首先,它是一种连续波雷达,可以较好地利用发射机的功率。

其次,它在一定的信噪比时,能够达到很好的测量精度,保证测量的单值性,比单脉冲雷达具有更高的距离分辨力和速度分辨力。

最后,它具有较强的抗干扰能力,敌方要干扰这种宽带雷达信号,将比干扰普通的雷达信号困难得多[2]。

1.2.3 在通信系统中的应用伪随机序列是一种貌似随机,实际上是有规律的周期性二进制序列,具有类似噪声序列的性质,在CDMA中,地址码都是从伪随机序列中选取的,在CDMA中使用一种最易实现的伪随机序列:m序列,利用m序列不同相位来区分不同用户;为了数据安全,在CDMA的寻呼信道和正向业务信道中使用了数据掩码(即数据扰乱)技术,其方法是用长度为2的42次方减1的m序列用于对业务信道进行扰码(注意不是扩频),它在分组交织器输出的调制字符上进行,通过交织器输出字符与长码PN码片的二进制模工相加而完成。

1.3 伪随机序列研究现状迄今为止,人们获得的伪随机序列仍主要是PC(相控)序列,移位寄存器序列(m和M序列),Gold序列,GMW序列,级联GMW序列,Kasami序列,Bent 序列,No序列。

其中m序列是最有名和最简单的,也是研究的最透彻的序列。

m序列还是研究其它序列的基础。

它序列平衡,有最好的自相关特性,但互相关满足一定条件的族序列数很少(对于本原多项式的阶数小于等于13的m序列,互为优选对的序列数不多于6),且线性复杂度很小。

1.4 研究内容首先研究生成序列的反馈移位寄存器、反馈逻辑函数。

主要研究它们的生成、随机特性以及相关特性。

最后在理论证明的基础上应用Quartus II仿真验证它们的正确性并仿真作出m序列相关特性图形,用PROTEL 99SE绘制原理图及PCB 图。

2 移位寄存器2.1 移位寄存器概述移位寄存器是数字系统中的重要逻辑部件,具有移位和寄存的功能,简称移存器。

移存器从结构上看,是将若干触发器级联起来。

按数据输入方式来分,有串行和并行两种;而移位方向,则有左移和右移;按数据输出也有串出和并出之分。

商品化通用寄存器可使数据串并出入,并可左右移位,应用灵活方便。

为了准确分析移位,正确使用移存器,灵活运用移存器,这一节将做进一步的讨论。

在数字系统中,常常要将寄存器中的数码按时钟的节拍向左移或右移一位或多位,能实现这种移位功能的寄存就称为移位寄存器。

顾名思义,移位寄存器具有数码的寄存和移位两个功能。

若在时钟脉冲的作用下,寄存器的数码向左移动一位,则称左移;若数码依次向右移动一位,成为右移。

移位寄存器的每一位也是由触发器组成的,但由于它需要有移位功能,所以每位触发器的输出端与下一位触发器的数据输入端相连接,所有触发器公用一个时钟脉冲,使它们同步工作。

但移位寄存器的次态受移位功能的限制,因为寄存器中的触发器只能存储1位二进制数,0或1,所以移位寄存器的次态只能有两种情况。

例如,原态为1011,当它右移一位时,若移进的为1,则次态为1101;若移进的为0,次态则为0101[3]。

2.2 移位寄存器原理移位寄存器可分为单向移位寄存器(单向左移,单向右移)双位移位存寄器寄存器。

2.2.1 4位右移寄存器原理:单向移位寄存器由4个维持阻塞的D触发器组成。

4个D触发器共用一个时钟脉冲信号,因此为同步时序逻辑电路。

数码由最左边的FF0的DI端串行输入。

由于D触发器的驱动方程为:Q n+1=D故D0=D I,D1=Q n0,D2=Q n1,D3=Q n2时钟方程:CP0=CP1=CP2=CP3=CP每一个触发器的输出→其右边触发器的输入,则对应每一个CP上升沿,数据右移一位。

图2.1 移位寄存器的右移图 2.2 右移寄存器的时序图表2.1 4位右移寄存器的状态表输 入现 态次 态注 释D I CP Q 0nQ 1nQ 2nQ 3nQ 0n+1 Q 1n+1Q 2n+1 Q 3n+11 0 0 0 0 1 0 0 0连续输入4个11 1 0 0 0 1 1 0 0 1 1 1 0 0 1 1 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1连续输入4个0 0 1 1 1 0 0 1 1 0 0 0 1 1 0 0 0 1 00 0 0 1 0 0 0 02.2.2 4位左移寄存器原理:数码由最右边的FF3的 端串行输入。

每一个触发器的输出→其左边触发器的输入,则对应每一个CP 上升沿,数据左移一位。

时钟方程:CP 0=CP 1=CP 2=CP 3=CP图2.3 移位寄存器的左移说明:⑴ 移位寄存器(单项左移,右移)有相同的存储单元组成(D 触发器);⑵ 移位寄存器的位数由触发器的个数决定;⑶ 各触发器共用一个时钟信号,属于同步时序电路[4]。

2.3 74LS194概述 2.3.1 概念74LS194是一种典型的中规模集成移位寄存器。

它有4个RS 触发器和一些门电路所构成。

图2.4为它的管脚图。

1 2 3 4 5 67 8910 11 12 13 14 15 16 CC40194(74LS194)VDD Q0 Q1 Q2 Q3 CP S1 S0SR D2 D1 D0 D3 SL VSSCR图2.4 74LS194的管脚图74LS194(4位双向移位寄存器)是一种功能很强的通用寄存器,它的具体逻辑功能由管脚9和管脚10的S 0,S 1来确定。

它具有并行输入、并行输出、左移和右移及保持等五个功能[5]。

2.3.2管脚及功能介绍74LS194共有16个管脚,其中D 0、D 1、D 2、D 3为并行数据输入端;Q 0、Q 1、Q 2、Q 3为4个触发器输出端;S R 为右移串行输入端;S L 为左移串行输入端;S 0、S 1为操作模式控制端;C R 为直接无条件清零端;CP 为时钟脉冲输入端。

当S 0S 1=00,为状态保持;S 0S 1=01为数据右移;S 0S 1=10为数据左移;S 0S 1=11为并行送数。

此外, 清除功能共5个功能。

这些功能的实现是由逻辑图中的门电路来保证的[6]。

表 2.2 74LS194 功能表2.474LS194移位寄存器的应用移位寄存器应用很广,可构成移位寄存器型计数器:顺序脉冲发生器;可用数据转换,即把串行数据转换为并行数据,或把并行数据转换为串行数据等[7]。

(1) 在数据传送体系转换中的应用。

数字系统中的数据传送体系有两种,包括串行传送体系和并行传送体系。

串行传送体系:即每一节拍只传送一位信息,N 位数据需要N 个节拍才能传送出去;并行传送体系:一个节拍同时传送N 位数据在数字系统中,两种传送体系均存在,如计算机主机对信息的处理和加工 是并行传送数据的,而信息的传播是串行传送数据的,因此存在两种数据传送体 系的转换串行∕并行转换器 :在数字系统中,信息的传播通常是串行的,而处理和加工往往是并行的,因功能 输 入 输 出CP C R S 1 S 0 S R S L D 0 D 1D 2 D 3 Q 0 Q 1 Q 2 Q 3 清零 × 0 × × × × × × × × 0 0 0 0 送数 ↑ 1 1 1 ××a b c d abc d 右移 ↑ 1 0 1 D SR × ×××× D SR Q 0 Q 1 Q 2 左移 ↑ 1 1 0 × D SL × × × × Q 1 Q 2Q 3D SL保持 ↑ 1 0 0 × × × × × × Q n 0 Q 1n Q 2n Q 3n 保持↓ 1××××××××Q 0nQ 1n Q 2n Q 3n此经常要进行输入、输出的串、并转换。

串行∕并行转换器是指串行输入的数码,经转换电路之后变换成并行输出,用二片74LS194四位双向移位句寄存器组成的七位串行∕并行数据转。

转换电路如图2.5所示,其转换过程的状态变化如表2.3所示。

具体的转换过程是:串行数据D 6…D 0从S R 端输入(低位D 0先入),并行数据从Q 1~Q 7输出,表示转换结束的标志码0加在第一片的D 0端,其他并行输入端接1。

清0启动后,Q 8=0,因此S 1S 0=01,第一个CP 是74LS194完成预置操作。

例如,并行输入数据0111111送入Q 1~Q 8,由于此时Q 8=1,S 1S 0=01,故以后的CP 均实现右移操作,经过七次右移后,七位串行码全部移入移存器。

此时Q 1~Q 7 =D 6~D 0,且转换结束标志码已到达Q 8,表示转换结束,此刻可读出并行数据。

由于Q 8=0,S 1S 0再次等于11,因此第9个CP 使移位寄存器再次预置数,并重复上述过程。

图2.5 七位串入-并处转换电路图表2.3 七位串入-并处状态表Q 0Q 1Q 2Q 3S 1S 0C r D 0D 1D 2D 3S R Q 0Q 1Q 2Q 3S 1S 0C r D 0D 1D 2D 3S R Q 4Q 3Q 2Q 111Q 5Q 6Q 7Q 8转换完成信号1串行输入D 6~D 0清0CP74LS19474LS1947-201并行输出CPCP① 七位并入—串出转换电路图2.6为它的转换电路图,其转换过程的状态变化如表2.4所示 具体的转换过程是:工作时S T = 0首先使启动信号,则两片74LS194的S 1S 0=11,第一个CP 来到后执行送数操作,Q 1~Q 7=0d 1d 2d 3d 4d 5d 6d 7,且2门输出位1。

相关文档
最新文档