FPGA可编程逻辑器件芯片XQ5VFX100T-1F1136I中文规格书
FPGA可编程逻辑器件芯片XQ5VSX95T-2EF1136I中文规格书

Symbol DescriptionSpeed GradeUnits -2I-1I-1MSequential DelaysT REG Clock to A–D outputs 1.43 1.73 1.73ns, Max T REG_MUX Clock to AMUX–DMUX output 1.55 1.87 1.87ns, Max T REG_M31Clock to DMUX output via M31 output 1.15 1.38 1.38ns, Max Setup and Hold Times Before/After Clock CLKT WS/T WH WE input0.24–0.040.29–0.020.29–0.02ns, MinT CECK/T CKCE CE input to CLK0.27–0.070.33–0.060.33–0.06ns, MinT DS/T DH A–D inputs to CLK 0.660.090.780.110.780.11ns, MinClock CLKT MPW Minimum pulse width0.700.850.85ns, MinNotes:1. A Zero “0” Hold Time listing indicates no hold time or a negative hold time. Negative values cannot be guaranteed “best-case”, but if a “0” islisted, there is no positive hold time.DSP48E Switching CharacteristicsMaximum Frequency F MAXBlock RAM in all modes500450450MHz F MAX_CASCADE Block RAM in cascade configuration 450400400MHz F MAX_FIFO FIFO in all modes500450450MHz F MAX_ECC Block RAM and FIFO in ECC configuration375325325MHzNotes:1.TRACE will report all of these parameters as T RCKO_DO .2.T RCKO_DOR includes T RCKO_DOW , T RCKO_DOPR , and T RCKO_DOPW as well as the B port equivalent timing parameters.3.These parameters also apply to synchronous FIFO with DO_REG =0.4.T RCKO_DO includes T RCKO_DOP as well as the B port equivalent timing parameters.5.These parameters also apply to multirate (asynchronous) and synchronous FIFO with DO_REG =1.6.T RCKO_FLAGS includes the following parameters: T RCKO_AEMPTY , T RCKO_AFULL , T RCKO_EMPTY , T RCKO_FULL , T RCKO_RDERR , T RCKO_WRERR .7.T RCKO_POINTERS includes both T RCKO_RDCOUNT and T RCKO_WRCOUNT .8.The ADDR setup and hold must be met when EN is asserted even though WE is deasserted. Otherwise, block RAM data corruption is possible.9.T RCKO_DI includes both A and B inputs as well as the parity inputs of A and B.10.These parameters also apply to RDEN.11.T RCO_FLAGS includes the following flags: AEMPTY , AFULL, EMPTY , FULL, RDERR, WRERR, RDCOUNT, and WRCOUNT .Table 69:DSP48E Switching CharacteristicsSymbolDescriptionSpeed Grade Units-2I -1I -1M Setup and Hold Times of Data/Control Pins to the Input Register Clock TDSPDCK_{AA, BB, ACINA, BCINB}/TDSPCKD_{AA, BB, ACINA, BCINB}{A, B, ACIN, BCIN} input to {A, B} register CLK 0.210.230.260.300.260.30ns TDSPDCK_CC/TDSPCKD_CCC input to C register CLK0.160.310.200.370.200.50nsSetup and Hold Times of Data Pins to the Pipeline Register Clock TDSPDCK_{AM, BM, ACINM, BCINM}/TDSPCKD_{AM, BM, ACINM, BCINM}{A, B, ACIN, BCIN} input to M register CLK1.440.191.710.191.710.19nsSetup and Hold Times of Data/Control Pins to the Output Register Clock TDSPDCK_{AP , BP , ACINP , BCINP}_M/TDSPCKD_{AP , BP , ACINP , BCINP}_M {A, B, ACIN, BCIN} input to P register CLK using multiplier2.74–0.303.25–0.30 3.25–0.30ns TDSPDCK_{AP , BP , ACINP , BCINP}_NM/TDSPCKD_{AP , BP , ACINP , BCINP}_NM {A, B, ACIN, BCIN} input to P register CLK not using multiplier1.54–0.10 1.83–0.10 1.83–0.10ns TDSPDCK_CP/TDSPCKD_CP C input to P register CLK1.42–0.13 1.70–0.13 1.70–0.13ns TDSPDCK_{PCINP , CRYCINP , MULTSIGNINP}/TDSPCKD_{PCINP , CRYCINP , MULTSIGNINP}{PCIN, CARRYCASCIN, MULTSIGNIN} input to P register CLK1.170.111.310.111.310.11nsSetup and Hold Times of the CE PinsTDSPCCK_{CEA1A, CEA2A, CEB1B,CEB2B}/TDSPCKC_{CEA1A, CEA2A, CEB1A, CEB2B}{CEA1, CEA2A, CEB1B, CEB2B} input to {A,B} register CLK 0.280.250.330.310.330.31nsTDSPCCK_CECC/TDSPCKC_CECC CEC input to C register CLK 0.210.210.260.280.260.28ns TDSPCCK_CEMM/TDSPCKC_CEMMCEM input to M register CLK0.290.210.360.260.360.26nsTable 68:Block RAM and FIFO Switching Characteristics (Cont’d)SymbolDescriptionSpeed Grade Units-2I -1I -1MPLL Switching Characteristics Table 74:PLL SpecificationSymbol DescriptionSpeed GradeUnits -2I-1I-1MF INMAX Maximum Input Clock Frequency710645645MHz F INMIN Minimum Input Clock Frequency191919MHz F INJITTER Maximum Input Clock Period Jitter<20% of clock input period or 1ns Max F INDUTY Allowable Input Duty Cycle: 19—49MHz25/75%Allowable Input Duty Cycle: 50—199MHz30/70%Allowable Input Duty Cycle: 200—399MHz35/65%Allowable Input Duty Cycle: 400—499MHz40/60%Allowable Input Duty Cycle: >500MHz45/55% F VCOMIN Minimum PLL VCO Frequency400400400MHz F VCOMAX Maximum PLL VCO Frequency120010001000MHzF BANDWIDTH Low PLL Bandwidth at T ypical(1)111MHz High PLL Bandwidth at Typical(1)444MHzT ST APHAOFFSET Static Phase Offset of the PLL Outputs120120120ps T OUTJITTER PLL Output Jitter(2)Note 1T OUTDUTY PLL Output Clock Duty Cycle Precision(3)±200±200±200ps T LOCKMAX PLL Maximum Lock Time(4)100100100µs F OUTMAX PLL Maximum Output Frequency for LX30T, LX85, LX110,LX110T, SX50T, and FX70T(I) devices667600N/A MHzPLL Maximum Output Frequency for LX155T, FX70T(M), andFX100T devices600550550MHz PLL Maximum Output Frequency for FX130T devices500450N/A MHzPLL Maximum Output Frequency for LX220T, LX330T, SX95T,SX240T, and FX200T devices500450N/A MHz F OUTMIN PLL Minimum Output Frequency(5) 3.125 3.125 3.125MHz T EXTFDVAR External Clock Feedback Variation<20% of clock input period or 1ns Max RST MINPULSE Minimum Reset Pulse Width555ns F PFDMAX Maximum Frequency at the Phase Frequency Detector500450450MHz F PFDMIN Minimum Frequency at the Phase Frequency Detector191919MHz T FBDELAY Maximum Delay in the Feedback Path3ns Max or one CLKIN cycle Notes:1.The PLL does not filter typical spread spectrum input clocks because they are usually far below the bandwidth filter frequencies.2.Values for this parameter are available in the Architecture Wizard.3.Includes global clock buffer.4.The LOCK signal must be sampled after T LOCKMAX. The LOCK signal is invalid after configuration or reset until the T LOCKMAX time hasexpired.5.Calculated as F VCO/128 assuming output duty cycle is 50%.。
FPGA可编程逻辑器件芯片XC5VSX50T-3FFG1136C中文规格书

FPGA RX InterfaceOverviewThe FPGA receives RX data from the GTX receiver through the FPGA RX interface. Data is read from the RXDATA port on the positive edge of RXUSRCLK2.The width of RXDATA can be configured to be one or two bytes wide. The actual width of the port depends on the internal data width of the GTX_DUAL tile, and whether or not the 8B/10B decoder is enabled. Ports widths of 8 bits, 10 bits, 16 bits, 20 bits, 32 bits, and 40 bits are possible.The rate of the parallel clock (RXUSRCLK2) at the interface is determined by the RX line rate, the width of the RXDATA port, and whether or not 8B/10B decoding is enabled. RXUSRCLK must be provided for the internal PCS logic in the receiver. This section shows how to drive the parallel clocks and explains the constraints on those clocks for correct operation.Ports and AttributesPortDirClock DomainDescriptionINT D ATAWI D TH InAsyncS pecifies the bit width for the TX and RX paths. The bit width of TX and RXmust be identical for both channels.0: 16-bit width 1: 20-bit widthREFCLKOUT Out N/AThe REFCLKOUT port from each GTX_DUAL tile provides access to thereference clock provided to the shared PMA PLL (CLKIN). It can be routed for use in the FPGA logic.RXDATA0[31:0]RXDATA1[31:0]Out RXUSRCLK2Receive data bus of the receive interface to the FPGA. The width of RXDATA(0/1) depends on the setting of RXDATAWIDTH(0/1).RXDATAWIDTH0RXDATAWIDTH1InRXUSRCLK2Selects the width of the RXDATA(0/1) receive data connection to the FPGA.0: One-byte interface => RXDATA(0/1)[7:0]1: Two-byte interface => RXDATA(0/1)[15:0]2: Four-byte interface => RXDATA(0/1)[31:0]The clock domain depends on the selected clock (RXRECCLK(0/1), RXUSRCLK(0/1), and RXUSRCLK2(0/1)) for this interface.RXRECCLK0RXRECCLK1Out N/ARecovered clock from the CDR. Clocks the RX logic between the PMA and the RX elastic buffer. Can be used to drive RXUSRCLK synchronously with incoming data.When RXPOWERDOWN[1:0] is set to 11, which is P2 the lowest power state, then RXRECCLK of this transceiver is indeterminate. RXRECCLK of this GTX transceiver is either a static 1 or a static 0.RXRESET0RXRESET1In AsyncPCS RX system reset. Resets the RX elastic buffer, 8B/10B decoder, comma detect, and other RX registers. This is a per channel subset of GTXRESET.FPGA RX InterfaceThere are no attributes in this section.DescriptionThe FPGA RX interface allows parallel received data to be read from the GTX transceiver. For this interface to be used, the following must be done: •The width of the RXDATA port must be configured•RXUSRCLK2 and RXUSRCLK must be connected to clocks running at the correct rate.Configuring the Width of the InterfaceTable 7-44 shows how to select the interface width for the RX datapath. 8B/10B decoding is discussed in more detail in “Configurable 8B/10B Decoder,” page 200.RXUSRCLK0RXUSRCLK1In N/AThis port provides a clock for the internal RX PCS datapath. This clock must always be provided. The rate depends on INTDATAWIDTH where:INTDATAWIDTH is Low; F RXUSRCLK = Line Rate/16INTDATAWIDTH is High; F RXUSRCLK = Line Rate/20RXUSRCLK20RXUSRCLK21In N/AThis port synchronizes the FPGA logic with the RX interface. This clock must be positive-edge aligned to RXUSRCLK. The clock rate depends on F RXUSRCLK and RXDATAWIDTH:RXDATAWIDTH =0; F RXUSRCLK2=2x F RXUSRCLK RXDATAWIDTH =1; F RXUSRCLK2=F RXUSRCLK RXDATAWIDTH =2; F RXUSRCLK2=F RXUSRCLK /2Table 7-43:FPGA RX Interface Ports (Cont’d)PortDirClock DomainDescriptionTable 7-44:RX Datapath Width ConfigurationINTDATAWIDTH (1)RXDATAWIDTH (2)RXDEC8B10BUSEFPGA RX Interface Width(bits)00N/A 801N/A 1602N/A 3210010110201204010181111612132Notes:1.The internal datapath is 16 bits when INTDATAWIDTH is Low and 20 bits when INTDATAWIDTH is High.2.The RXDATA interface is one byte wide when RXDATAWIDTH = 0, two bytes wide when RXDATAWIDTH = 1, and four bytes when RXDATAWIDTH = 2.Chapter 7:GTX Receiver (RX)Figure7-42 shows how RXDATA is received serially when the internal datapath is 16bits(INTDATAWIDTH is Low) and 8B/10B decoding is disabled.Figure 7-42:RX Interface with 8B/10B Bypassed (16-Bit Internal Datapath)Figure7-43 shows how RXDATA is received serially when the internal datapath is 20 bits(INTDATAWIDTH is High) and 8B/10B decoding is disabled. When RXDATA is 10 bits or20bits wide, the RXDISPERR and RXCHARISK ports are taken from the 8B/10B decoderinterface and are used to present the extra bits.Figure 7-43:RX Interface with 8B/10B Bypassed (20-Bit Internal Datapath)DescriptionUsing the CRC BlocksFigure8-3 shows a CRC block calculating the CRC for input data. Also shown in this figureis the CRC32 primitive. This operation is performed when the CRC is being generated orchecked. CRC_POLY is the fixed CRC32 polynomial used for all calculations.Figure 8-3:CRC Block TimingAt the start of each frame, CRCRESET must be applied to set the initial CRC value toCRC_INIT. CRC calculations are cumulative, so this step is required to start the CRCcalculation at a known value. CRC_INIT is a 32-bit value for the initial state of the CRCinternal register. Its default value is 0xFFFFFFFF. When CRCRESET is driven Low, on thefirst cycle the CRC block outputs 0x00000000 on the CRCOUT port. The following cycleswill have the calculated CRC value for the data on the CRCIN port. The CRC_INIT valuerequired for a given protocol is specified as part of that protocol’s CRC algorithm. Table8-6shows the CRC_INIT values for some common protocols that use the CRC32 polynomial.Table 8-6:CRC_INIT Values for Some Common ProtocolsProtocol CRC_INITEthernet32’hFFFF_FFFFPCI Express32’hFFFF_FFFFInfiniband32’hFFFF_FFFFFibre Channel32’hFFFF_FFFFSATA32’h5232_5032Chapter 8:Cyclic Redundancy Check。
FPGA可编程逻辑器件芯片XC5VSX50T-2FFG1136I中文规格书

模块
最大 RocketIO
收发器(6)
总 I/O
GTP GTX bank(8)
最大 用户 I/O(7)
XC5VLX30
80 x 30 4,800
320
32
64 32 1,522 2 不适用 不适用 不适用 不适用 不适用 13 400
XC5VLX50 120 x 30 7,200
480
和一个写入端口) - 支持 9 位、18 位、36 位和 72 位宽度的存储器位数及奇偶校
验/边带存储器 - 从 32Kx1 到 512x72 的配置(从 8Kx4 到 512x72 用于 FIFO
运行)
• 多速率 FIFO 支持逻辑
- 具有完全可编程近满标志和近空标志的满标志和空标志
DS100 (v5.0) 2009 年 2 月 6 日 产品规范
48
96 48 1,728 6 不适用 不适用 不适用 不适用 不适用 17 560
XC5VLX85
120 x 54 12,960
840
48
192 96 3,456 6 不适用 不适用 不适用 不适用 不适用 17 560
XC5VLX110 XC5VLX155
160 x 54 17,280 160 x 76 24,320
XC5VLX110T 160 x 54 17,280 1,120
64
296 148 5,328 6 不适用
1
XC5VLX 155T 160 x 76 24,320 1,640
128 424 212 7,632 6 不适用
1
4
16 不适用 20 680
4
16 不适用 20 680
FPGA可编程逻辑器件芯片XC5VLX110-1FF676I中文规格书

120 x 54 12,960
840
48
192 96 3,456 6 不适用 不适用 不适用 不适用 不适用 17 560
XC5VLX110 XC5VLX155
160 x 54 17,280 160 x 76 24,320
1,120 1,640
64
256 128 4,608 6 不适用 不适用 不适用 不适用 不适用 23 800
• 65 nm 铜 CMOS 工艺技术 • 1.0V 内核电压 • 可选择标准或无铅的具有高度信号完整性的倒装片封装
DS100 (v5.0) 2009 年 2 月 6 日 产品规范
Virtex-5 系列概述
表 1:Virtex-5 FPGA 系列成员
器件
可配置逻辑模块 (CLB)
Block RAM
256 456 228 8,208 6
2
XC5VFX130T 200 x 56 20,480 1,580
320
596 298 10,728 6
2
Байду номын сангаас
XC5VFX200T 240 x 68 30,720 2,280
384 912 456 16,416 6
2
3
4 不适用 16 19 640
3
4 不适用 16 20 680
1
4
16 不适用 20 680
XC5VLX 330T 240 x 108 51,840 3,420
192 648 324 11,664 6 不适用
1
4
24 不适用 27 960
XC5VSX 35T 80 x 34 5,440
520
192 168 84 3,024 2 不适用
FPGA可编程逻辑器件芯片XQ6VSX475T-L1RF1156I中文规格书

DS310 (v1.7) June 28, 2005Product SpecificationFeatures•Optimized for 1.8V systems-As fast as 3.8ns pin-to-pin logic delays-As low as 12 μA quiescent current•Industry’s best 0.18 micron CMOS CPLD-Optimized architecture for effective logic synthesis-Multi-voltage I/O operation: 1.5V through 3.3V•Available in multiple package options-32-land QFN with 21 user I/O-44-pin PLCC with 33 user I/O-44-pin VQFP with 33 user I/O-56-ball CP BGA with 33 user I/O-Pb-free available for all packages•Advanced system features-Fastest in system programming· 1.8V ISP using IEEE 1532 (JTAG) interface-IEEE1149.1 JTAG Boundary Scan Test-Optional Schmitt-trigger input (per pin)-Two separate I/O banks-RealDigital 100% CMOS product term generation-Flexible clocking modes-Optional DualEDGE triggered registers-Global signal options with macrocell control·Multiple global clocks with phase selection permacrocell·Multiple global output enables·Global set/reset-Efficient control term clocks, output enables andset/resets for each macrocell and shared acrossfunction blocks-Advanced design security-Open-drain output option for Wired-OR and LEDdrive-Optional configurable grounds on unused I/Os-Optional bus-hold, 3-state or weak pullup onselected I/O pins-Mixed I/O voltages compatible with 1.5V, 1.8V,2.5V, and3.3V logic levels-PLA architecture·Superior pinout retention·100% product term routability across functionblock-Hot pluggable Refer to the CoolRunner™-II family data sheet for architec-ture description.Description The CoolRunner ™-II 32-macrocell device is designed for both high performance and low power applications. This lends power savings to high-end communication equipment and high speed to battery operated devices. Due to the low power stand-by and dynamic operation, overall system reli-ability is improved This device consists of two Function Blocks interconnected by a low power Advanced Interconnect Matrix (AIM). The AIM feeds 40 true and complement inputs to each Function Block. The Function Blocks consist of a 40 by 56 P-term PLA and 16 macrocells which contain numerous configura-tion bits that allow for combinational or registered modes of operation. Additionally, these registers can be globally reset or preset and configured as a D or T flip-flop or as a D latch. There are also multiple clock signals, both global and local product term types, configured on a per macrocell basis. Output pin configurations include slew rate limit, bus hold, pull-up,open drain and programmable grounds. A Schmitt trigger input is available on a per input pin basis. In addition to stor-ing macrocell output states, the macrocell registers may be configured as "direct input" registers to store signals directly from input pins. Clocking is available on a global or Function Block basis.Three global clocks are available for all Function Blocks as a synchronous clock source. Macrocell registers can be individually configured to power up to the zero or one state.A global set/reset control line is also available to asynchro-nously set or reset selected registers during operation.Additional local clock, synchronous clock-enable, asynchro-nous set/reset and output enable signals can be formed using product terms on a per-macrocell or per-Function Block basis. The CoolRunner-II 32-macrocell CPLD is I/O compatible with standard LVTTL and LVCMOS18, LVCMOS25, and LVCMOS33 (see Table 1). This device is also 1.5V I/O com-patible with the use of Schmitt-trigger inputs.Another feature that eases voltage translation is I/O bank-ing. Two I/O banks are available on the CoolRunner-II 32A macrocell device that permit easy interfacing to 3.3V, 2.5V,1.8V, and 1.5V devices.XC2C32A CoolRunner-II CPLDDS310 (v1.7) June 28, 2005Product SpecificationCoolRunner-II CPLD I2C Bus Controller ImplementationTable 1: CoolRunner-II I2C Controller Signal DescriptionIRQ Output Interrupt Request. Active Low.MCF Output Data Transferring Bit. While one byte of data isbeing transferred, this bit is cleared. It is set by thefalling edge of the ninth clock of a byte transfer. Thisbit is used to signal the completion of a byte transferto the μC.CLK Input Clock. This clock is input from the system. Theconstants used in generating a 100 KHz SCL signalassumes the frequency to be 1.832 MHz. Differentclock frequencies can be used, but the constants inthe VHDL source code must be recalculated. Block Diagram The block diagram of the CoolRunner-II I2C Controller, shown in Figure3 was broken into two major blocks, the μC interface and the I2C interface.Figure 3: CoolRunner-II I2C ControllerXAPP385 (v1.1) December 30, 2003。
FPGA可编程逻辑器件芯片XC5VSX95T-2FFG1136C中文规格书

© 2006–2010, 2014, 2016 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands included herein are trademarks of Xilinx in the United States and other countries. PowerPC is a trademark of IBM Corp. and is used under license. PCI, PCI Express, PCIe, and PCI-X are trademarks of PCI-SIG. All other trademarks are the property of their respective owners.Virtex-5 FPGA Electrical CharacteristicsVirtex®-5 FPGAs are available in -3, -2, -1 speed grades, with -3 having the highest performance. Virtex-5 FPGA DC and AC characteristics are specified for both commercial and industrial grades. Except the operating temperature range or unless otherwise noted, all the DC and ACelectrical parameters are the same for a particular speed grade (that is, the timing characteristics of a -1 speed grade industrial device are the same as for a -1 speed grade commercial device). However, only selected speed grades and/or devices might be available in the industrial range.All supply voltage and junction temperature specifications are representative of worst-case conditions. Theparameters included are common to popular designs and typical applications.This Virtex-5 FPGA data sheet, part of an overall set of documentation on the Virtex-5 family of FPGAs, is available on the Xilinx website:•Virtex-5 Family Overview •Virtex-5 FPGA User Guide•Virtex-5 FPGA Configuration Guide•Virtex-5 FPGA XtremeDSP™ Design Considerations •Virtex-5 FPGA Packaging and Pinout Specification•Embedded Processor Block in Virtex-5 FPGAs Reference Guide•Virtex-5 FPGA RocketIO™ GTP Transceiver User Guide •Virtex-5 FPGA RocketIO GTX Transceiver User Guide •Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC User Guide•Virtex-5 FPGA Integrated Endpoint Block User Guide for PCI Express® Designs•Virtex-5 FPGA System Monitor User Guide •Virtex-5 FPGA PCB Designer’s GuideAll specifications are subject to change without notice.Virtex-5 FPGA DC CharacteristicsProduct SpecificationTable 1:Absolute Maximum RatingsSymbol DescriptionUnits V CCINT Internal supply voltage relative to GND –0.5 to 1.1V V CCAUX Auxiliary supply voltage relative to GND–0.5 to 3.0V V CCO Output drivers supply voltage relative to GND –0.5 to 3.75V V BATT Key memory battery backup supply –0.5 to 4.05V V REFInput reference voltage–0.5 to 3.75V V IN (3)3.3V I/O input voltage relative to GND (4) (user and dedicated I/Os)–0.75 to 4.05V 3.3V I/O input voltage relative to GND (restricted to maximum of 100 user I/Os)(5)–0.95 to 4.4(Commercial Temperature)V –0.85 to 4.3(Industrial Temperature)2.5V or below I/O input voltage relative to GND (user and dedicated I/Os)–0.75 to V CCO +0.5V I IN Current applied to an I/O pin, powered or unpowered±100mA Total current applied to all I/O pins, powered or unpowered±100mA V TS Voltage applied to 3-state 3.3V output (4) (user and dedicated I/Os)–0.75 to 4.05V Voltage applied to 3-state 2.5V or below output (user and dedicated I/Os)–0.75 to V CCO +0.5V T STG Storage temperature (ambient)–65to 150°C T SOL Maximum soldering temperature (2)+220°C T JMaximum junction temperature (2)+125°CNotes:1.Stresses beyond those listed under Absolute Maximum Ratings might cause permanent damage to the device. These are stress ratings only, andfunctional operation of the device at these or any other conditions beyond those listed under Operating Conditions is not implied. Exposure to Absolute Maximum Ratings conditions for extended periods of time might affect device reliability.2.For soldering guidelines, refer to UG112: Device Package User Guide . For thermal considerations, refer to UG195: Virtex-5 FPGA Packaging andPinout Specification on the Xilinx website.3. 3.3V I/O absolute maximum limit applied to DC and AC signals.4.For 3.3V I/O operation, refer to UG190: Virtex-5 FPGA User Guide, Chapter 6, 3.3V I/O Design Guidelines .5.For more flexibility in specific designs, a maximum of 100 user I/Os can be stressed beyond the normal specification for no more than 20% of a data period .找FPGA和CPLD可编程逻辑器件,上深圳宇航军工半导体有限公司DS202 (v5.5) June 17, 2016Table 2:Recommended Operating ConditionsSymbol DescriptionTemperature RangeMin Max Units V CCINT Internal supply voltage relative to GND, T J =0°C to +85°C Commercial 0.95 1.05V Internal supply voltage relative to GND, T J =–40°C to +100°C Industrial 0.95 1.05V V CCAUX (1)Auxiliary supply voltage relative to GND, T J =0°C to +85°C Commercial 2.375 2.625V Auxiliary supply voltage relative to GND, T J =–40°C to +100°C Industrial 2.375 2.625V V CCO (2,4,5)Supply voltage relative to GND, T J =0°C to +85°C Commercial 1.14 3.45V Supply voltage relative to GND, T J =–40°C to +100°C Industrial 1.14 3.45V V IN3.3V supply voltage relative to GND, T J =0°C to +85°C Commercial GND –0.20 3.45V 3.3V supply voltage relative to GND, T J =–40°C to +100°C Industrial GND –0.20 3.45V 2.5V and below supply voltage relative to GND, T J =0°C to +85°CCommercial GND –0.20V CCO +0.2V 2.5V and below supply voltage relative to GND, T J =–40°C to +100°CIndustrial GND –0.20V CCO +0.2V I IN (6)Maximum current through any pin in a powered or unpowered bank when forward biasing the clamp diode Commercial 10mA Industrial 10mA V BATT (3)Battery voltage relative to GND, T J =0°C to +85°C Commercial 1.0 3.6V Battery voltage relative to GND, T J =–40°C to +100°CIndustrial1.03.6VGTX_DUAL Tile SpecificationsGTX_DUAL Tile DC CharacteristicsTable 36:Absolute Maximum Ratings for GTX_DUAL TilesSymbol Description Units MGTAVCCPLL Analog supply voltage for the GTX_DUAL shared PLL relative to GND–0.5 to 1.1V MGTAVTTTX Analog supply voltage for the GTX_DUAL transmitters relative to GND–0.5 to 1.32V MGTAVTTRX Analog supply voltage for the GTX_DUAL receivers relative to GND–0.5 to 1.32V MGTAVCC Analog supply voltage for the GTX_DUAL common circuits relative to GND–0.5 to 1.1V–0.5 to 1.32V MGTAVTTRXC Analog supply voltage for the resistor calibration circuit of the GTX_DUALcolumnSystem Monitor Analog-to-Digital Converter SpecificationTable 51:Analog-to-Digital SpecificationsParameter Symbol Comments/Conditions Min Typ Max UnitsAV DD=2.5V±2%, V REFP=2.5V,V REFN=0V, ADCCLK=5.2MHz, T A=T MIN to T MAX, Typical values at T A=+25°CDC Accuracy: All external input channels such as V P/V N and V AUXP[15:0]/V AUXN[15:0], Unipolar Mode,and Common Mode = 0VResolution10Bits Integral Nonlinearity INL±2LSBsDifferential Nonlinearity DNL No missing codes (T MIN to T MAX)Guaranteed Monotonic±0.9LSBs Unipolar Offset Error(1)Uncalibrated±2±30LSBs Bipolar Offset Error(1)Uncalibrated measured in bipolar mode ±2±30LSBs Gain Error(1)Uncalibrated±0.2±2% Bipolar Gain Error(1)Uncalibrated measured in bipolar mode±0.2±2%Total Unadjusted Error (Uncalibrated)TUE Deviation from ideal transfer function.V REFP–V REFN=2.5V±10LSBsTotal Unadjusted Error (Calibrated)TUE Deviation from ideal transfer function.V REFP–V REFN=2.5V±1±2LSBsCalibrated Gain TemperatureCoefficientVariation of FS code with temperature±0.01LSB/°CDC Common-Mode Reject CMRR DC V N = V CM=0.5V± 0.5V,V P–V N=100mV70dB Conversion Rate(2)Conversion Time - Continuous t CONV Number of CLK cycles2632Conversion Time - Event t CONV Number of CLK cycles21T/H Acquisition Time t ACQ Number of CLK cycles4DRP Clock Frequency DCLK DRP clock frequency8250MHz ADC Clock Frequency ADCCLK Derived from DCLK1 5.2MHz CLK Duty cycle4060% Analog Inputs(3)Dedicated Analog Inputs Input Voltage RangeV P - V N Unipolar Operation01Volts Differential Inputs–0.25+0.25Unipolar Common Mode Range (FS input)0+0.5 Differential Common Mode Range (FS input) +0.3+0.7 Bandwidth20MHzAuxiliary Analog InputsInput Voltage RangeV AUXP[0] /V AUXN[0] to V AUXP[15] /V AUXN[15]Unipolar Operation01Volts Differential Operation–0.25+0.25Unipolar Common Mode Range (FS input)0+0.5 Differential Common Mode Range (FS input)+0.3+0.7 Bandwidth10kHzInput Leakage Current A/D not converting, ADCCLK stopped±1.0µA Input Capacitance10pFOn-chip Supply Monitor Error V CCINT and V CCAUX with calibration enabled±1.0% Reading On-chip Temperature MonitorError–40°C to +125°C with calibration enabled±4°C。
FPGA可编程逻辑器件芯片XQ5VLX155T-2EF1136I中文规格书

General DescriptionThe Defense-grade XQ UltraScale™ architecture-based devices extend the equivalent commercial offerings, adding unique ruggedized packages, extended operating temperature range support, and added environmental qualification testing. This XQ portfolio spans the following families, with each offering a unique mix of features. XQ Kintex® UltraScale FPGAs: High-performance FPGAs with a focus on price/performance, using both monolithic andnext-generation stacked silicon interconnect (SSI) technology. High DSP and block RAM-to-logic ratios and next-generation transceivers, combined with low-cost packaging, enable an optimum blend of capability and cost.XQ Kintex UltraScale+™ FPGAs: Increased performance and on-chip UltraRAM memory to reduce BOM cost. The ideal mix of high-performance peripherals and cost-effective system implementation. Kintex UltraScale+ FPGAs have numerous power options that deliver the optimal balance between the required system performance and the smallest power envelope.XQ Virtex® UltraScale+ FPGAs: The highest transceiver bandwidth, highest DSP count, and highest on-chip and in-package memory available in the UltraScale architecture. Virtex UltraScale+ FPGAs also provide numerous power options that deliver the optimal balance between the required system performance and the smallest power envelope.XQ Zynq® UltraScale+ MPSoCs: Combine the Arm® v8-based Cortex®-A53 high-performance energy-efficient 64-bit application processor with the Arm Cortex-R5 real-time processor and the UltraScale architecture to create the industry's first Defense-grade MPSoCs. Provide unprecedented power savings, heterogeneous processing, and programmable acceleration. XQ Zynq UltraScale+ RFSoCs: Combine RF data converter subsystem and forward error correction with industry-leading programmable logic and heterogeneous processing capability. Integrated RF-ADCs, RF-DACs, and soft-decision FECs (SD-FEC) provide the key subsystems for multiband, multi-mode cellular radios and cable infrastructure.XQ Device ComparisonsDS895 (v2.0) November 15, 2018Product Specification Table 1:Device Resources(1)XQ Kintex UltraScale FPGAXQ KintexUltraScale+FPGAXQ VirtexUltraScale+FPGAXQ ZynqUltraScale+MPSoCXQ ZynqUltraScale+RFSoCMPSoC Processing System✓✓RF-ADC/DAC and SD-FEC✓System Logic Cells (K)530–1,451475–1,143862–2,835154–1,143930 Block Memory (Mb)21.1–75.916.9–34.625.3–70.9 5.1–34.638.0 UltraRAM (Mb)18–3690–2700–3622.5 HBM DRAM (GB)0(2)DSP (Slices)1,920–5,5201,824–1,9682,280–9,216360–3,5284,272 DSP Performance (GMAC/s)(3)7,2973,05014,2845,4686,621 Transceivers16–6416–5640–960–488–16 Max. Transceiver Speed (Gb/s)16.328.228.228.228.2 Max. Serial Bandwidth (full duplex) (Gb/s)2,0862,4025,4161,950902I/O Pins312–728280–512416–83282–644152–408 Notes:1.Metrics given in this table pertain to the XQ ruggedized package devices. For non-ruggedized device variants consult Xilinx sales.2.HBM not currently offered in an XQ ruggedized Package; consult Xilinx sales for further details and options.3.Calculated based on XQ maximum DSP clock rate for a Symmetric FIR Filter, e.g. for KU040 with 1920 DSP48s, -2 speed-grade DSP48F MAX=661MHz, GMACs=2x0.661x1,920=2,538.XQ Kintex UltraScaleXQ Kintex UltraScale+XQ Virtex UltraScale+XQ Zynq UltraScale+ PLXQ Zynq UltraScale+ PSADC10-bit 200kSPS10-bit 200kSPS10-bit 1MSPS Interfaces JTAG, I2C, DRP JTAG, I2C, DRP, PMBus APB•64-bit quad-core Arm Cortex-A53 MPCores. Features associated with each core include: o Arm v8-A Architectureo Operating target frequency: up to 1.5GHzo Single and double precision floating point:4SP/2DP FLOPso NEON Advanced SIMD support with single and double precision floating point instructions o A64 instruction set in 64-bit operating mode, A32/T32 instruction set in 32-bit operating mode o Level 1 cache (separate instruction and data, 32KB each for each Cortex-A53 CPU)–2-way set-associative Instruction Cache with parity support–4-way set-associative Data Cache with ECC supporto Integrated memory management unit (MMU) per processor coreMIO OverviewThe IOP peripherals communicate to external devices through a shared pool of up to 78 dedicated multiplexed I/O (MIO) pins. Each peripheral can be assigned one of several pre-defined groups of pins, enabling a flexible assignment of multiple devices simultaneously. Although 78 pins are not enough for simultaneous use of all the I/O peripherals, most IOP interface signals are available to the PL, allowing use of standard PL I/O pins when powered up and properly configured. Extended multiplexed I/O (EMIO) allows unmapped PS peripherals to access PL I/O.Port mappings can appear in multiple locations. For example, there are up to 12 possible port mappings for CAN pins. The PS Configuration Wizard (PCW) tool aids in peripheral and static memory pin mapping. See Table 17.Transceiver (PS-GTR)The four PS-GTR transceivers, which reside in the full power domain (FPD), support data rates of up to 6.0Gb/s. All the protocols cannot be pinned out at the same time. At any given time, four differential pairs can be pinned out using the transceivers. This is user programmable via the high-speed I/O multiplexer (HS-MIO). •A Quad transceiver PS-GTR (TX/RX pair) able to support following standards simultaneouslyo x1, x2, or x4 lane of PCIe at Gen1 (2.5Gb/s) or Gen2 (5.0Gb/s) rates o 1 or 2 lanes of DisplayPort (TX only) at 1.62Gb/s, 2.7Gb/s, or 5.4Gb/s o 1 or 2 SATA channels at 1.5Gb/s, 3.0Gb/s, or 6.0Gb/s o 1 or 2 USB3.0 channels at 5.0Gb/s o1-4 Ethernet SGMII channels at 1.25Gb/sTable 17:MIO Peripheral Interface MappingPeripheral InterfaceMIOEMIOQuad-SPI NAND YesNo USB2.0: 0,1Yes: External PHY No SDIO 0,1Yes Yes SPI: 0,1I2C: 0,1CAN: 0,1GPIOYesCAN: External PHY GPIO: Up to 78 bits YesCAN: External PHY GPIO: Up to 96 bitsGigE: 0,1,2,3RGMII v2.0: External PHYSupports GMII, RGMII v2.0 (HSTL), RGMII v1.3, MII, SGMII, and 1000BASE-X in Programmable LogicUART: 0,1Simple UART:Only two pins (TX and RX)Full UART (TX, RX, DTR, DCD, DSR, RI, RTS, and CTS) requires either:•Two Processing System (PS) pins (RX and TX) through MIO and sixadditional Programmable Logic (PL) pins, or •Eight Programmable Logic (PL) pinsDebug Trace Ports Yes: Up to 16 trace bits Yes: Up to 32 trace bits Processor JTAGYesYes。
FPGA可编程逻辑器件芯片XC5VLX110T-2FFG1136I中文规格书

OverviewThe purpose of this notification is to inform Xilinx customers of the discontinuation of certain Virtex®-4 andVirtex®-5 FPGA devices special part numbers only; devices will continue to ship without change to form, fit, or function, but with updated part numbers.DescriptionSince the introduction of Virtex-4 and Virtex-5 FPGA products, Xilinx has qualified both product families in both Toshiba, in Oita, Japan, and UMC in Taiwan, and has been shipping the majority of devices in each product family from UMC. As part of the consolidation effort described in XCN11030, wafer fabrication for all Virtex-4 and Virtex-5 Devices described in this document will be transferred to UMC.As a result of this transfer, certain part numbers, including SCD and Stepping, will be converted into standard part numbers.For these devices, there is no change to the form, fit, or function of the devices themselves. Qualification data is available in the Xilinx reliability report UG116.Products AffectedThe products affected include all Virtex-4 and Virtex-5 part numbers associated with the following associated SCDand stepping: 0641, 0988, 4009, 4013, 4023, 4058, 4094, 4098, 4108, CS1, CS2 part numbers listed in Table 1,Table 2 and Table 3 below.XCN11031 (v1.1) June 9, 2015Product Discontinuation Notice For Virtex-4 and Virtex-5 FPGA SCDs from Toshiba Wafer FabricationXCN11031 (v1.1) June 9, 2015Product Discontinuation Notice For Virtex-4 and Virtex-5 FPGA SCDs from Toshiba Wafer FabricationXCN11031 (v1.1) June 9, 2015Product Discontinuation Notice For Virtex-4 and Virtex-5 FPGA SCDs from Toshiba Wafer FabricationXCN11031 (v1.1) June 9, 2015Product Discontinuation Notice For Virtex-4 and Virtex-5 FPGA SCDs from Toshiba Wafer Fabrication XCN11031 (v1.1) June 9, 2015。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
General DescriptionUsing the second generation ASMBL™ (Advanced Silicon Modular Block) column-based architecture, the Virtex-5 family contains five distinct platforms (sub-families), the most choice offered by any FPGA family. Each platform contains a different ratio of features to address the needs of a wide variety of advanced logic designs. In addition to the most advanced, high-performance logic fabric, Virtex-5FPGAs contain many hard-IP system level blocks, including powerful 36-Kbit block RAM/FIFOs, second generation 25x 18 DSP slices,SelectIO™ technology with built-in digitally-controlled impedance, ChipSync™ source-synchronous interface blocks, system monitor functionality, enhanced clock management tiles with integrated DCM (Digital Clock Managers) and phase-locked-loop (PLL) clock generators, and advanced configuration options. Additional platform dependant features include power-optimized high-speed serial transceiver blocks for enhanced serial connectivity, PCI Express® compliant integrated Endpoint blocks, tri-mode Ethernet MACs (Media Access Controllers), and high-performance PowerPC®440 microprocessor embedded blocks. These features allow advanced logic designers to build the highest levels of performance and functionality into their FPGA-based systems. Built on a 65-nm state-of-the-art copper process technology, Virtex-5 FPGAs are a programmable alternative to custom ASIC technology. Most advanced system designs require the programmable strength of FPGAs. Virtex-5 FPGAs offer the best solution for addressing the needs of high-performance logic designers, high-performance DSP designers, and high-performance embedded systems designers with unprecedented logic, DSP ,hard/soft microprocessor, and connectivity capabilities. The Virtex-5 LXT , SXT, TXT , and FXT platforms include advanced high-speed serial connectivity and link/transaction layer capability.Summary of Virtex-5 FPGA FeaturesVirtex-5 Family OverviewDS100 (v5.1) August 21, 2015Product SpecificationVirtex-5 FPGA Logic•On average, one to two speed grade improvement over Virtex-4 devices•Cascadable 32-bit variable shift registers or 64-bit distributed memory capability•Superior routing architecture with enhanced diagonal routing supports block-to-block connectivity withminimal hops•Up to 330,000 logic cells including:−Up to 207,360 internal fabric flip-flops with clock enable (XC5VLX330)−Up to 207,360 real 6-input look-up tables (LUTs) with greater than 13 million total LUT bits−T wo outputs for dual 5-LUT mode gives enhanced utilization−Logic expanding multiplexers and I/O registers550MHz Clock Technology•Up to six Clock Management Tiles (CMTs)−Each CMT contains two DCMs and one PLL—up to eighteen total clock generators−Flexible DCM-to-PLL or PLL-to-DCM cascade−Precision clock deskew and phase shift−Flexible frequency synthesis−Multiple operating modes to ease performance trade-off decisions−Improved maximum input/output frequency−Fine-grained phase shifting resolution−Input jitter filtering−Low-power operation−Wide phase shift range•Differential clock tree structure for optimized low-jitter clocking and precise duty cycle•32 global clock networks•Regional, I/O, and local clocks in addition to global clocksSelectIO Technology•Up to 1,200 user I/Os•Wide selection of I/O standards from 1.2V to 3.3V •Extremely high-performance−Up to 800Mb/s HSTL and SSTL(on all single-ended I/Os)−Up to 1.25Gb/s LVDS (on all differential I/O pairs)•True differential termination on-chip•Same edge capture at input and output I/Os •Extensive memory interface support 550MHz Integrated Block Memory •Up to 16.4Mbits of integrated block memory•36-Kbit blocks with optional dual 18-Kbit mode •True dual-port RAM cells•Independent port width selection (x1 to x72)−Up to x36 total per port for true dual port operation−Up to x72 total per port for simple dual port operation (one Read port and one Write port)−Memory bits plus parity/sideband memory support for x9, x18, x36, and x72 widths−Configurations from 32K x1 to 512x72(8K x4 to 512x72 for FIFO operation)•Multirate FIFO support logic−Full and Empty flag with fully programmable Almost Full and Almost Empty flags•Synchronous FIFO support without Flag uncertainty •Optional pipeline stages for higher performance •Byte-write capability•Dedicated cascade routing to form 64K x1 memory without using FPGA routing•Integrated optional ECC for high-reliability memory requirements•Special reduced-power design for 18Kbit (and below) operation550MHz DSP48E Slices•25x18 two’s complement multiplication •Optional pipeline stages for enhanced performance •Optional 48-bit accumulator for multiply accumulate (MACC) operation with optional accumulator cascade to 96-bits•Integrated adder for complex-multiply or multiply-add operation•Optional bitwise logical operation modes •Independent C registers per slice•Fully cascadable in a DSP column without external routing resourcesChipSync Source-Synchronous Interfacing Logic•Works in conjunction with SelectIO technology to simplify source-synchronous interfaces•Per-bit deskew capability built into all I/O blocks (variable delay line on all inputs and outputs)•Dedicated I/O and regional clocking resources (pins and trees)•Built-in data serializer/deserializer logic with corresponding clock divider support in all I/O •Networking/telecommunication interfaces up to1.25Gb/s per I/ORocketIO GTP Transceivers (LXT/SXT only)•Full-duplex serial transceiver capable of 100Mb/s to 3.75Gb/s baud rates•8B/10B, user-defined FPGA logic, or no encoding options•Channel bonding support•CRC generation and checking •Programmable pre-emphasis or pre-equalization for the transmitter•Programmable termination and voltage swing •Programmable equalization for the receiver •Receiver signal detect and loss of signal indicator •User dynamic reconfiguration using secondary configuration bus•Out of Band (OOB) support for Serial AT A (SAT A)•Electrical idle, beaconing, receiver detection, and PCI Express and SATA spread-spectrum clocking support •Less than 100mW typical power consumption •Built-in PRBS Generators and Checkers PowerPC 440 RISC Cores (FXT only)•Embedded PowerPC 440 (PPC440) cores−Up to 550MHz operation−Greater than 1000 DMIPS per core−Seven-stage pipeline−Multiple instructions per cycle−Out-of-order execution−32Kbyte, 64-way set associative level 1 instruction cache−32Kbyte, 64-way set associative level 1 data cache−Book E compliant•Integrated crossbar for enhanced system performance −128-bit Processor Local Buses (PLBs)−Integrated scatter/gather DMA controllers−Dedicated interface for connection to DDR2 memory controller−Auto-synchronization for non-integer PLB-to-CPU clock ratios•Auxiliary Processor Unit (APU) Interface and Controller −Direct connection from PPC440 embedded block to FPGA fabric-based coprocessors−128-bit wide pipelined APU Load/Store−Support of autonomous instructions: no pipeline stalls−Programmable decode for custom instructionsVirtex-5 FPGA FeaturesThis section briefly describes the features of the Virtex-5 family of FPGAs. Input/Output Blocks (SelectIO)IOBs are programmable and can be categorized as follows:•Programmable single-ended or differential (LVDS) operation•Input block with an optional single data rate (SDR) or double data rate (DDR) register•Output block with an optional SDR or DDR register •Bidirectional block•Per-bit deskew circuitry•Dedicated I/O and regional clocking resources •Built-in data serializer/deserializerThe IOB registers are either edge-triggered D-type flip-flops or level-sensitive latches.IOBs support the following single-ended standards:•LVTTL•LVCMOS (3.3V, 2.5V, 1.8V, 1.5V, and 1.2V)•PCI (33 and 66MHz)•PCI-X•GTL and GTLP•HSTL 1.5V and 1.8V (Class I, II, III, and IV)•HSTL 1.2V (Class 1)•SSTL 1.8V and 2.5V (Class I and II)The Digitally Controlled Impedance (DCI) I/O feature can be configured to provide on-chip termination for eachsingle-ended I/O standard and some differential I/O standards.The IOB elements also support the following differential signaling I/O standards:•LVDS and Extended LVDS (2.5V only)•BLVDS (Bus LVDS)•ULVDS•Hypertransport™•Differential HSTL 1.5V and 1.8V (Class I and II)•Differential SSTL 1.8V and 2.5V (Class I and II)•RSDS (2.5V point-to-point)Two adjacent pads are used for each differential pair. Two or four IOB blocks connect to one switch matrix to access the routing resources.Per-bit deskew circuitry allows for programmable signal delay internal to the FPGA. Per-bit deskew flexibly provides fine-grained increments of delay to carefully produce a range of signal delays. This is especially useful for synchronizing signal edges in source-synchronous interfaces.General purpose I/O in select locations (eight per bank) are designed to be “regional clock capable” I/O by adding special hardware connections for I/O in the same locality. These regional clock inputs are distributed within a limited region to minimize clock skew between IOBs. Regional I/O clocking supplements the global clocking resources.Data serializer/deserializer capability is added to every I/O to support source-synchronous interfaces. A serial-to-parallel converter with associated clock divider is included in the input path, and a parallel-to-serial converter in the output path.An in-depth guide to the Virtex-5 FPGA IOB is found in the Virtex-5 FPGA Tri-Mode Ethernet MAC User Guide. Configurable Logic Blocks (CLBs)A Virtex-5 FPGA CLB resource is made up of two slices. Each slice is equivalent and contains:•Four function generators•Four storage elements•Arithmetic logic gates•Large multiplexers•Fast carry look-ahead chainThe function generators are configurable as 6-input LUTs or dual-output 5-input LUTs. SLICEMs in some CLBs can be configured to operate as 32-bit shift registers (or 16-bit x2 shift registers) or as 64-bit distributed RAM. In addition, the four storage elements can be configured as eitheredge-triggered D-type flip-flops or level sensitive latches. Each CLB has internal fast interconnect and connects to a switch matrix to access general routing resources.The Virtex-5 FPGA CLBs are further discussed in the Virtex-5 FPGA User Guide.Block RAMThe 36Kbit true dual-port RAM block resources are programmable from 32K x1 to 512x72, in various depth and width configurations. In addition, each 36-Kbit block can also be configured to operate as two, independent 18-Kbit dual-port RAM blocks.Each port is totally synchronous and independent, offering three “read-during-write” modes. Block RAM is cascadable to implement large embedded storage blocks. Additionally, back-end pipeline registers, clock control circuitry, built-in FIFO support, ECC, and byte write enable features are also provided as options.The block RAM feature in Virtex-5 devices is further discussed in the Virtex-5 FPGA User Guide.。