EDA实训报告

合集下载

EDA实训报告总结

EDA实训报告总结

实训心得短暂的一周实训已经过去了,对于我来说这一周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这一周实训中我自己的一些心得体会.一周的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东西,熟悉了对quartus ⅱ软件的一般项目的操作和学到了处理简单问题的基本方法,更重要的是掌握了vhdl语言的基本设计思路和方法,我想这些会对我今后的学习起到很大的助推作用。

此外,还要在今后的课本理论知识学习过程中要一步一个脚印的扎实学习,灵活的掌握和运用专业理论知识这样才能在以后出去工作的实践过程中有所成果。

最后还要感谢学校为我们提供这样专业的实践平台还有瓮老师在一周实训以来的不断指导和同学的热情帮助。

总的来说,这次实训我收获很大.同时,感谢大专两年来所有的老师,是你们为我解惑受业,不仅教授我专业知识,更教会我做人的道理.这次eda实训让我感觉收获颇多,在这一周的实训中我们不仅巩固了以前学过的知识,而且还学到了怎样运用eda设计三种波形的整个过程和思路,更加强了我们动手能力,同时也提高了我们的思考能力的锻炼,我们在写程序的同时还要学会要改程序,根据错误的地方去修改程序.本文基于verilog hdl的乒乓球游戏机设计,利用verilog hdl语言编写程序实现其波形数据功能在分析了cpld技术的基础上,利用cpld开发工具对电路进行了设计和仿真,从分离器件到系统的分布,每一步都经过严格的波形仿真,以确保功能正常。

从整体上看来,实训课题的内容实现的功能都能实现,但也存在着不足和需要进一步改进的地方,为我今后的学习和工作奠下了坚实的基础。

通过此次的实训课题,掌握了制作乒乓球游戏机技术的原理及设计要领,学习并掌握了可编程逻辑电路的设计,掌握了软件、cpld元件的应用,受益匪浅,非常感谢瓮老师这一学期来的指导与教诲,感谢老师在学习上给予的指导,老师平常的工作也很忙,但是在我们学习的过程中,重来没有耽搁过,我们遇到问题问他,他重来都是很有耐心,不管问的学生有多少,他都细心的为每个学生讲解,学生们遇到的不能解决的,他都配合同学极力解决。

eda技术实验报告

eda技术实验报告

EDA技术实验报告1. 背景介绍EDA(Exploratory Data Analysis)是指探索性数据分析,是数据科学和机器学习中一项重要的任务。

通过EDA技术,我们可以对数据集进行可视化和统计分析,从而深入了解数据的特征和结构,为后续的数据处理和建模提供指导。

2. 实验目的本实验旨在通过使用EDA技术来分析一个给定的数据集,并从中获取有价值的信息。

通过实践,我们将深入了解EDA技术的应用和优势。

3. 实验步骤步骤1:导入数据首先,我们需要将实验所需的数据导入到Python的数据分析库中。

我们可以使用pandas库读取数据集,并将其存储为DataFrame对象,以便后续的分析和处理。

import pandas as pd# 读取数据集data = pd.read_csv('data.csv')步骤2:数据概览在进行数据分析之前,我们先要对数据进行整体的了解。

我们可以通过以下几个步骤来获取数据的概览信息:1.查看数据的前几行,了解数据的结构和格式。

data.head()2.查看数据的基本统计信息,包括均值、标准差、最小值、最大值等。

data.describe()3.检查数据中是否存在缺失值或异常值。

data.isnull().sum()步骤3:数据可视化EDA技术的核心之一是数据可视化。

通过可视化数据,我们可以更直观地理解数据的分布和关系。

下面是几种常用的数据可视化方法:1.直方图:用于展示数值型数据的分布情况,可以帮助我们了解数据的集中趋势和离散程度。

data['column'].plot.hist()2.散点图:用于展示两个数值型变量之间的关系,可以帮助我们发现数据的相关性。

data.plot.scatter(x='column1', y='column2')3.条形图:用于展示类别型数据的分布情况,可以帮助我们比较不同类别之间的差异。

eda实训总结

eda实训总结

EDA实训总结随着科技的飞速发展,电子设计自动化(EDA)技术在当今的电子工程领域中扮演着越来越重要的角色。

为了提高我们的实践能力和对EDA技术的深入理解,学校为我们安排了为期一个月的EDA实训课程。

以下是我对这次实训的详细总结和心得体会。

一、实训目的与意义EDA实训的主要目的是让我们通过实际操作,掌握EDA工具的使用方法,了解电子设计的流程,培养我们的实践能力和创新思维。

这对我们未来从事电子工程相关工作具有重要的指导意义。

在实训过程中,我们不仅学习了EDA工具的基本操作,还通过完成各种设计任务,锻炼了我们的团队协作能力、问题解决能力和创新思维。

这些能力对于我们未来的职业发展都是非常重要的。

二、实训内容与过程实训内容主要包括EDA工具的学习和使用,以及基于这些工具完成实际的设计任务。

我们使用的EDA工具主要包括原理图设计工具、PCB设计工具、电路仿真工具等。

在实训初期,我们首先学习了这些工具的基本操作方法,包括原理图的绘制、元件的封装、电路板的布局布线等。

通过不断的练习,我们逐渐掌握了这些工具的使用技巧。

接下来,我们开始进行实际的设计任务。

我们分组进行,每组负责完成一个不同的设计项目。

在设计过程中,我们遇到了很多问题和挑战。

例如,原理图的绘制需要精确到每个元件的引脚连接,稍有差错就可能导致整个电路无法正常工作。

此外,电路板的布局布线也是一项非常考验耐心和技巧的工作。

我们需要根据元件的尺寸和连接方式,合理安排它们的位置,同时确保信号的传输路径尽可能短且不受干扰。

在面对这些问题时,我们通过查阅资料、请教老师和同学讨论等方式,逐步找到了解决问题的方法。

最终,我们成功完成了设计任务,并进行了电路板的制作和测试。

三、实训收获与体会通过这次EDA实训,我收获颇丰。

首先,我掌握了EDA工具的基本操作方法,为今后的学习和工作打下了坚实的基础。

其次,我通过实际的设计任务,锻炼了自己的团队协作能力和问题解决能力。

这些能力对于我未来的职业发展都是非常重要的。

EDA技术及应用实训报告

EDA技术及应用实训报告

EDA技术及应用实训报告
1.设计自动化技术
设计自动化技术(Design Automation)是一项将新科技与新技术结合在一起的实际工程学科,它将工程设计和制造过程的各个方面进行自动建模、自动仿真、自动集成,从而实现对制造技术的模拟和控制,实现优化系统设计和提高设计效率的目的。

设计自动化是达到设计自动化的途径之一,它强调了“从设计中抽象出规则、流程、模型和算法”,并对它们进行自动化,从而克服了手动设计中的过程繁琐、重复劳动的缺点,为设计师提供了更快、更准、更节劳的方法。

2、EDA技术
EDA(电子设计自动化)是在电子产品设计的整个流程中使用计算机软件和硬件工具来实现设计自动化的过程,也称之为电子产品设计自动化(EDA)。

EDA技术已经发展到成熟阶段,&&主要用于电路板设计、电路仿真、CAD/CAM设计、电路布线和测试等领域。

EDA实验报告(12份).pdf

EDA实验报告(12份).pdf

实验一组合电路的设计1. 实验目的:熟悉MAX + plus II 的VHDL 文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。

2. 实验内容:设计一个2选1多路选择器,并进行仿真测试,给出仿真波形。

3. 实验程序如下:library ieee;use ieee.std_logic_1164.all; entity mux21a isport(a,b,s:in std_logic;y:out std_logic); end entity mux21a; architecture one of mux21a is beginy<=a when s='0' else b ; end architecture one ;4. 仿真波形(如图1-1所示)图1-1 2选1多路选择器仿真波形5. 试验总结:从仿真波形可以看出此2选1多路选择器是当s为低电平时,y输出为b, 当s为高电平时,y输出为a(y<=a when s='0' else b ;),完成2路选择输出。

实验二时序电路的设计1. 实验目的:熟悉MAX + plus II VHDL文本设计过程,学习简单的时序电路设计、仿真和测试。

2. 实验验内容:设计一个锁存器,并进行仿真测试,给出仿真波形。

3. 实验程序如下:library ieee;use ieee.std_logic_1164.all;entity suocun7 isport(clk: in std_logic;en: in std_logic;D: in std_logic_vector(7 downto 0);B:out std_logic_vector(7 downto 0)); end suocun7;architecture one of suocun7 issignal K: std_logic_vector(7 downto 0); beginprocess(clk,en,D)beginif clk'event and clk='1' thenif en ='0'thenK<=D;end if;end if;end process;B<=K;end one;4.仿真波形(如图2-1所示)图2-1 8位锁存器仿真波形此程序完成的是一个8位锁存器,当时钟上升沿到来(clk'event and clk='1')、使能端为低电平(en ='0')时,输出为时钟上升沿时的前一个数,从仿真波形看,实现了此功能。

EDA实验报告

EDA实验报告

EDA实验报告一、实验目的本实验旨在通过使用EDA(数据探索性分析)技术,进一步了解和分析所研究数据的特征、分布、关系以及可能存在的异常值等,从而为后续的数据建模和决策提供更加准确的依据。

二、实验步骤1. 数据收集与加载从数据源中获取所需数据集,并使用相应的数据加载工具将数据集导入到实验环境中。

多种数据源包括文件、数据库、API请求等方式均可。

2. 数据检查与预处理对导入的数据进行初步检查,包括数据类型、缺失值、异常值等方面的处理。

根据具体需求,对缺失值可以进行填充或删除操作,对异常值可以通过替换、删除或者修复的方式进行处理。

3. 数据探索性分析a) 描述性统计分析对各个变量进行描述性统计,包括计算均值、中位数、标准差等指标,以直观地了解数据的分布和变异程度。

b) 单变量分析对每个变量进行分析和探索,绘制直方图、箱线图、概率密度图等,以帮助我们了解变量的分布情况、异常值等。

c) 多变量分析使用散点图、柱状图、热力图等方式,对不同变量之间的关系进行分析。

可以通过相关性分析、协方差矩阵等方法来探索变量之间的线性关系。

4. 结果可视化在数据分析过程中,可以使用适当的可视化方法将分析结果直观地展示出来,如绘制折线图、散点图、热力图等。

可视化可以更好地理解数据的特征和趋势。

5. 异常检测与处理在探索性分析过程中,发现异常值后,需要进一步分析和决定如何处理它们。

可以采用剔除、修复等方式,使得数据能够更加符合实际情况。

6. 相关性分析对于关键变量之间的相互关系,可以使用相关性分析等统计方法来衡量它们的相关程度。

这可以帮助我们理解变量之间的影响和作用,以及它们与问题或目标变量之间的关系。

三、实验结果通过对所研究数据集的EDA实验,我们得出以下结论:1. 数据集的缺失值情况较为严重,需要进行适当的处理,以避免因缺失数据引起的结果不准确或失真的问题。

2. 变量A和变量B之间存在较强的正相关关系,即当A增加时,B 也会相应地增加;变量C则与变量A和B之间的关系较弱。

EDA实验报告完结版

EDA实验报告完结版

EDA实验报告完结版一、实验目的本次 EDA 实验的主要目的是通过实际操作和设计,深入理解和掌握电子设计自动化(EDA)技术的基本原理和应用。

具体而言,包括熟悉 EDA 工具的使用方法,学会运用硬件描述语言(HDL)进行逻辑电路的设计与描述,以及通过综合、仿真和实现等流程,将设计转化为实际的硬件电路,并对其性能进行评估和优化。

二、实验环境本次实验所使用的 EDA 工具为_____,该工具提供了丰富的功能模块和强大的设计支持,包括原理图编辑、HDL 代码编写、综合、仿真和下载等。

实验所使用的硬件平台为_____开发板,其具备多种接口和资源,便于对设计的电路进行实际验证和测试。

三、实验内容1、基本逻辑门电路的设计与实现使用 HDL 语言(如 Verilog 或 VHDL)设计常见的基本逻辑门电路,如与门、或门、非门等。

通过编写代码,对逻辑门的输入输出关系进行描述,并进行综合和仿真,验证设计的正确性。

2、组合逻辑电路的设计与实现设计并实现较为复杂的组合逻辑电路,如加法器、减法器、编码器、译码器等。

运用 HDL 语言描述电路的功能,进行综合和仿真,确保电路在各种输入情况下的输出结果符合预期。

3、时序逻辑电路的设计与实现设计常见的时序逻辑电路,如计数器、寄存器、移位寄存器等。

在设计过程中,考虑时钟信号、同步复位和异步复位等因素,通过仿真验证时序逻辑的正确性,并对电路的性能进行分析。

4、有限状态机(FSM)的设计与实现设计一个有限状态机,实现特定的功能,如交通信号灯控制器、数字密码锁等。

明确状态转移条件和输出逻辑,通过编写 HDL 代码实现状态机,并进行综合和仿真,验证其功能的准确性。

5、综合与优化对设计的电路进行综合,生成门级网表,并通过优化工具对电路进行面积、速度等方面的优化,以满足特定的设计要求。

6、硬件实现与测试将综合后的设计下载到硬件开发板上,通过实际的输入输出信号,对电路的功能进行测试和验证。

观察电路在实际运行中的表现,对出现的问题进行分析和解决。

EDA实验报告 (2)

EDA实验报告 (2)

实验一QUARTUS II软件安装、基本界面及设计入门一、实验目的:QUARTUSII是Altera公司提供的EDA工具,是当今业界最优秀的EDA设计工具之一。

提供了一种与结构无关的设计环境,使得电子设计人员能够方便地进行设计输入、快速处理和器件编程。

通过本次实验使学生熟悉QUARTUSII软件的安装,基本界面及基本操作,并练习使用QUARTUS的图形编辑器绘制电路图。

二、实验内容:1、安装QUARTUSII软件;2、熟悉QUARTUSII基本界面及操作;3通过一个4位加法器的设计实例来熟悉采用图形输入方式进行简单逻辑设计的步骤。

三、实验仪器:1、PC机一台;2、QUARTUSII软件;3、EDA实验箱。

四、实验原理:4位加法器是一种可实现两个4位二进制数的加法操作的器件。

输入两个4位二进制的被加数A和B,以及输入进位Ci,输出为一个4位二进制和数D和输出进位数Co。

半加操作就是求两个加数A、B的和,输出本位和数S及进位数C。

全加器有3位输入,分别是加数A、B和一个进位Ci。

将这3个数相加,得出本位和数(全加和数)D和进位数Co。

全加器由两个半加器和一个或门组成。

五、实验步骤:安装QUARTUSII软件;因为实验时我的机器了已经有QUARTUSII软件,所以我并没有进行安装软件的操作。

设计半加器:在进行半加器模块逻辑设计时,采用由上至下的设计方法,在进行设计输入时,需要由下至上分级输入,使用QuartusIIGraphic Editor进行设计输入的步骤如下。

(1)、打开QUARTUSII软件,选择File-new project wizard…新建一个设计实体名为has的项目文件;(2)、新建文件,在block.bdf窗口下添加元件符号,并连接。

如下图:半加器原理图(3)、将此文件另存为has.gdf的文件。

(4)、在主菜单中选择Processing→Start Compilation命令,系统对设计进行编译,同时打开Compilation Report Flow Summary窗体,Status视图显示编译进程。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

电子信息与电气工程系课程设计报告设计类型:课程设计设计题目:A/D转换电路的PCB板图设计系别:电子信息与电气工程系年级专业:09通信(2)班学号:学生姓名:sunly指导教师:2011 年9 月11 日课程训练目标:1、绘制电路原理图;2、原理图元件库的编辑;3、绘制PCB图;4、PCB封装元件的添加与修改;5、电路仿真环境下原理图的设计和仿真。

1、A/D转换电路原理图及相关元器件库设计电路原理图的设计是整个电路设计的基础,因此电路原理图要设计好,以免影响后面的设计工作。

电路原理图的设计一般有如下步骤:(1)启动原理图设计服务器(2)设置设计环境(4)装入所需的元件库(5)放置元件及布线(6)编辑和调整(7)电气规则检查(8) 对元件进行封装(9) 生成网络表1.1 启动原理图设计编辑器原理图设计编辑器即原理图的设计系统,在该系统中可以进行电路原理图的设计,生成相应的网络表。

启动原理图设计编辑器的操作如下。

(1)首先,用户在桌面双击PROTEL 99 SE快捷方式,进入Protel 99 SE系统,此时可以点击菜单File中的New命令,系统会弹出PROTEL 99 SE 建立新设计数据库的文件路径设置选项卡,如图1所示。

在这个选项卡中选择password 选项卡可一进行文件加密、修改文件名称和改变数据库文件保存路径等。

图 1 建立新设计数据库对话框(2)设置完成后,单击【OK】按钮,即可进入如图2所示的设计环境。

(3)双击Doucment图标,然后再次执行菜单中“File”→“New”命令,会弹出如图2所示的对话框,双击Schematic Doucment图标。

这样就在Doucment子目录中创建了文件。

图2“New Document(新建文件)”对话框(4)新建立的文件默认名为“Sheet1.Sch”,将文件名修改为“AD zhuanhuan.Sch”,双击此文件,系统进入原理图编辑器。

接下来就可以进行原理图的设计和绘制。

以下是绘制要点:放置元件---PLACE/PART绘制导线---PLACE/WIRE放置电源部件---PLACE/POWER PORT放置电气连接点---PLACE/JUNCTION放置文字标注---PLACE/ANNOTATION安置好元件后,我们需要对原理图进行布线,原理图布线即利用Protel 99SE 的Schematic提供的各种连线工具,用具有电气意义的导线、网络标号、端口标号和电气连接点等连接图纸上的元件,构成一个完整的原理图。

比如一般导线是用,主线用,主线支线用,在布置好各元件和导线之后,再用设置各主线。

1.2加载元器件库进入原理图编辑器页面,在放置元件之前,必须先将常用的元件库载入,装载元件库步骤如下。

(1)用鼠标单击设计管理器中Browse Sch选项卡,然后单击【Add\Remove】按钮,屏幕会出现如图3所示的对话框。

图3 改变库文件列表对话框(2)在Design Explorer 99/Library/Sch文件夹下找到所需的元件库,如Anglon Devices.ddb、Miscellaneous Devices.ddb、Protel Dos Schematic Libraries等。

然后双击鼠标,添加的元件库就会出现在Selected Files列表中,如图5 所示。

(3)最后点击【OK】按钮,完成该元件库的添加1.3选择、制作、放置元器件1、选择元件(1)通过已知元件的编号名称,在设计管理器的Mask后的框内输入元件编号,按回车键后在下面的框内会出现所需器件的编号,双击元件编号即可放置所取得元件了。

(2)如果不知道原件的编号,可以单击【Browse】按钮,系统将会弹出一个对话框,在该对话框中,选择需要元件所在的库,然后在预览框中察看元件图形。

根据AD转换电路的原理图,在原理图编辑器中找到所有所需的元件在原理图的绘制过程中我们会发现一些元件无法在元件库中找到,如我们设计电路中所需的CN,这时就需要我们自己创建一个CN的原理图。

首先,在Documents中创建Schlib1.Lib文件,画出大概的框架和元件的引脚,画完之后,Tools下Rename Component..改变元件名为CN 。

2、制作元件CN在AD转换电路的原理图绘制过程中需要制作元件CN,而制作元件和创建元件库需要在元件库编辑器中操作。

(1)启动元件库编辑器在Doucment目录下执行菜单“File”→“New”命令,系统将会出现如图1所示对话框。

然后在对话框中选择原理图元件库编辑器图标,双击或单击再点击【OK】按钮,系统便创建了一个新元件库文档。

将文档名称改为“CN.Lib”双击电路原理图元件库文档图标,进入元件库编辑工作界面。

(2)绘制元件CN接下来就可以利用工作界面内的工具,来绘制元件CN。

在原理图元件库编辑工作界面,将绘制的元件名称改为CN,然后点击绘图工具栏中绘制直线按钮,以象限交点为元件基准点,在第四象限会出一个矩形。

在矩形左边紧挨着画一个在Y方向跨两格的小矩形,这时小矩形与元件CN 不符,然后双击小矩形,出现一个对话框,在对话框中修改小矩形的坐标,使得符合元件CN的图形。

绘制元件的引脚,点击绘图工具栏中绘制引脚按钮,在大矩形左边画六个引脚。

编辑各个管脚,双击需要编辑的引脚,弹出对话框,在对话框中对引脚进行属性修改,修改内容如名称、编号等。

保存制作好的元件库。

在启动原理图设计编辑工作界面载入制作好的元件,然后就可以找到绘制的元件CN,如图4所示。

图4 CN器件原理图1.4移动、调整元器件如果元件的位置放置的很乱或方向不合适,则可以对元件的进行调整1、移动元件用鼠标点击需要移动的元件,然后拖动到合适的位置即可。

2、方向调整用鼠标点击需要调整的元件,然后按空格键,没按一次空格键元件就会逆时针旋转九十度。

直到元件调整到合适的方向。

1.5编辑元件属性直接用鼠标双击需要编辑的元件,就会出现一个属性对话框,在对话框中可以对元件的名称、封装形式、流水序号等属性进行编辑。

其中元件的封装形式要选择合适的且元件封装库存在的,如果某个元件的封装在封装库中不存在,这就需要在后面的操作中制作该元件的封装。

1.6线路连接当所有的电路对象与电源元件放置完毕后,就可以着手进行电路图中个对象间的线路连接了。

在进行线路连接之前,单击电路绘制工具栏上的连线按钮,此时鼠标指针会变为大十字,这是只需将鼠标指针指向预拉线的一段,然后单击鼠标左键,当鼠标指针移动到连线的转弯点时,每单击一次鼠标可以定位一次转弯。

当预拉线的指针移动到一个可以建立电气连接点时,十字指针会出现一个黑点,这时再次点击鼠标左键即可完成此次连线,当所有连线完成时,单击鼠标右键即可退出连线模式。

最后完成的A/D 转换电路原理图如图5所示:图5 A/D 转换电路原理图1.7电气规则(ERC)检查电气规则检查(ERC)是检查原理图设计结果,保证原理图输入有效和正确生成SPICE netlist 的可靠手段。

用来检查原理图电气连接的合理性,如元件标注是否重复、元件的输入输出连接属性是否合理,以及输入输出见是否知直接短路,电源的地之间是否短路等连线错误。

检查步骤如下:单击Tools/ERC命令,显示如图6示的“Setup Electrical Rule Check”对话框,默认为Setup选项卡:图6“Setup Electrical Rule Check”对话框设置规则后单击OK按钮,执行ERC检查操作。

检查后在项目库中生成错误报表文件,根据提示修改错误。

图7 ERC检查无误提示对话框1.8生成网络表网络表是电路原理图设计和印刷电路板设计之间的桥梁,执行菜单Design/Create Netlist命令可以生成具有元件名、元件封装、参数及元件之间连接关系的网络表。

A/D转换电路原理图生成网络表如图8所示。

图8 生成的网络表2、印制电路板的设计电路设计的最终目的是为了设计出电子产品,而电子产品的物理结构是通过印刷电路板来实现的。

Protel 99 SE为设计者提供了一个完整的电路板设计环境,使电路设计更加方便有效。

印刷电路板设计是从电路原理图变成一个具体产品的必经之路,因此,印刷电路板设计是电路设计中最重要、最关键的一步。

通常,印刷电路板设计的具体步骤如下:(1)启动印刷电路板设计服务器(2) 加载封装库(3)规划电路板(4)加载.NET文件(5)布局(6)布线2.1启动印刷电路板设计编辑器启动印刷电路板设计编辑器基本步骤如下。

(1)在Doucment目录下执行菜单“File”→“New”命令,系统将会出现如图1所示对话框。

(2)然后在对话框中选择印制电路板编辑器图标,双击或单击再点击【OK】按钮,系统便创建了一个新元件库文档。

将文档名称改为“A/D zhuanhuan.Pcb”(3)双击电路原理图元件库文档图标,进入元件库编辑工作界面。

2.2创建封装及载入封装库根据设计需要,在设计印制电路板之前,先需要载入常用的元件封装库。

基本步骤如下。

(1)用鼠标单击设计管理器中Browse Sch选项卡,然后单击【Add\Remove】按钮,屏幕会出现如图3所示的对话框(2)在Design Explorer 99/Library/Pcb文件夹下找到所需的元件库,如GeneralIC.ddb、Miscellaneous.ddb等。

然后双击鼠标,添加的元件库就会出现在Selected Files列表中,如图3 所示。

(3)最后点击【OK】按钮,完成该元件库的添加如果某个元件的封装在封装库中不存在,这就需要我们创建一个元件封装,在本设计中需要创建元件CN的封装CN6。

具体步骤如下。

1、启动元件封装编辑器启动元件封装编辑器的步骤如下。

(1)在Doucment目录下执行菜单“File”→“New”命令,系统将会出现如图1所示对话框。

(2)然后在对话框中选择元件封装编辑器图标,双击或单击再点击【OK】按钮,系统便创建了一个新元件库文档。

将文档名称改为“CN6.Lib”(3)双击元件封装文档图标,进入元件库编辑工作界面。

2、创建新的元件封装在元件封装编辑器界面,利用Protel 99 提供的绘图工具,按照设计中CN6实际的尺寸绘制出元件的封装CN6,如图9所示。

图9 元件封装CN6元件封装库创建完成后回到印制电路板编辑器,通过Browse Sch选项卡导入元件封装。

2.3规划电路板选中KeepOutLayer ,利用工具条画出四条线条,通过设定坐标生成方框。

图10 根据上面设计的电路确定电路板的物理尺寸及电气边界。

步骤如下:1、进入PCB 设计编辑器,单击编辑区下方的Keep Out Layer ,将当前工作层设置为Keep Out Layer ,如图10所示。

相关文档
最新文档