多数字数码管显示驱动电路设计

合集下载

多数字数码管显示驱动电路设计

多数字数码管显示驱动电路设计

文章 编 号 :0 9— 2 9 2 0 ) 1—3 2 0 1 0 2 6 ( 1 2 0 1 5— 3 3 0
多 数 字 数 码 管 显 示 驱 动 电 路 设 计
蔺鹏 , 炜 奇 王
( 兰州 工业 高 等专 科 学 校 计 算机 工 程 系 , 甘肃 兰 州 7 15 ) 30 0 3
2 硬 件 的 基 本 原 理
本 电路 的 硬 件结 构 由集 成芯 片 8 7 , D 5 17 L 14等组成 , 要 集成 器 件介 绍 如 2 9 C 4 1 ,4 S 5 主
下:
膏 收 辅 日 期 : 0 1—1 20 I一2 3
作 者 筒 介 : 鹛 ( 9 3一 ) 男 甘 肃 兰 州 ^ , 理 工 程 师 碡 17 . 助


词 : ED数 码 管 ; 态 扫 描 ; 态扫 描 ; T L 2 9 L 动 静 I E 8 7 N
文 献标 识 码 :A
中 图 分 类 号 : N 3 . T 4 12
0 引 言
显 示 数 字 比较 多 时 , 用 L D数 码 管 显 得 有 些 力 不 从 心 , 时 设 计 者 往 往 考 虑 更 多 的 利 E 这 是 更 换 其 它 类 型 的显 示 器 替 代 数 码 管 完 成 工作 .这 里介 绍 一 种最 多 可 以实 现 3 2位 L D 数 E
即让各 位数码管 按照 一定 顺序轮 流 显示 , 只要扫描 频 率足 够 高 , 于人 眼 的 " 由 视觉 暂 留" 现 象, 就观察 不到 闪烁 现象 , 是连续 稳定 的显示 .其 特 点在 于能 显著 降低 显示 部分 成本 , 而 大 大减 少显示 接 1的连线结构 , 于编程 . : 3 易

数码管的显示的实验报告

数码管的显示的实验报告

数码管的显示的实验报告数码管的显示的实验报告引言:数码管是一种常见的数字显示装置,广泛应用于各种电子设备中。

本实验旨在通过实际操作,了解数码管的原理和工作方式,并通过一系列实验验证其显示效果和功能。

实验一:数码管的基本原理数码管是由多个发光二极管(LED)组成的,每个发光二极管代表一个数字或符号。

通过对不同的发光二极管进行点亮或熄灭,可以显示出不同的数字或符号。

本实验使用的是共阳数码管,即共阳极连接在一起,而阴极分别连接到控制芯片的输出引脚。

实验二:数码管的驱动电路为了控制数码管的显示,需要使用驱动电路。

常见的驱动电路有共阴极驱动和共阳极驱动两种。

本实验使用的是共阳极驱动电路。

驱动电路由控制芯片、电阻和电容组成。

控制芯片通过控制输出引脚的高低电平来控制数码管的点亮和熄灭。

实验三:数码管的显示效果通过控制芯片的输出引脚,可以实现数码管的显示效果。

本实验使用的是四位数码管,可以显示0-9的数字。

通过改变控制芯片输出引脚的电平,可以控制数码管显示不同的数字。

实验中通过编写程序,使数码管显示从0到9的数字循环显示,并通过按键控制数字的增加和减少。

实验四:数码管的多位显示除了显示单个数字外,数码管还可以实现多位显示。

通过控制不同位数的数码管,可以显示更多的数字或符号。

本实验使用的是四位数码管,可以同时显示四个数字。

通过编写程序,可以实现四位数码管的多位显示,例如显示当前时间、温度等信息。

实验五:数码管的亮度调节数码管的亮度可以通过改变驱动电路中的电阻值来实现。

本实验通过改变电阻值,调节数码管的亮度。

实验中通过编写程序,通过按键控制数码管的亮度增加和减少,从而实现亮度的调节。

结论:通过本次实验,我们深入了解了数码管的原理和工作方式。

数码管可以通过驱动电路的控制,实现数字和符号的显示。

同时,数码管还可以实现多位显示和亮度调节。

数码管作为一种常见的数字显示装置,具有广泛的应用前景,可以应用于各种电子设备中。

通过进一步的研究和实践,我们可以更好地利用数码管的功能,满足不同应用场景的需求。

多LED数码管驱动方法

多LED数码管驱动方法

多LED数码管驱动方法最近有朋友问我,他的项目里面有一个多达300位8段数码管要驱动,问有什么好的办法,只用单片机动态扫描的办法来实现。

老实说,我现在似乎觉得还有点问题,因为人的视觉反应是100MS,即使我采用1MS定时扫描,那么280位下来也有280MS了,可能觉得闪烁的厉害了。

但是如果把定时时间做的再短的话,单片机中断的频率太高,可能其他的任务又要出错了。

不知道大家有没有好的主意,或者采用一些特殊的器件来管理它??1.一个主CPU,多个子CPU动态扫描。

主CPU通过通讯线将数据送入子CPU现在51CPU比8255之类的芯片便宜,同意多COU方案2.如果可用静态方式就很简单,很多利率牌都是这样。

用类似164的移位寄存器,一块移位寄存器接一块LED。

不管有几白块,LED间的连线都只有三根,可扩充性非常好。

clk ---|~~~|---|~~~|---...---|~~~|---...---|~~~|--- clkdata ---|---|---|---|---...---|---|---...---|---|--- dataclr ---|___|---|___|---...---|___|---...---|___|--- clr上面的示意应该清楚,数据传输可用串口。

clk是时钟信号,多块LED的clk是接在一块的,clr是清除端,也是多块LED接在一块的,data 是数据,多块LED是级联性的。

移位+锁存, 就不闪了。

简单点说,整个显示系统可视为一个大型的移位寄存器。

3.不过就利率牌说一下。

利率牌的LED输出有多路,有一路接口是专门用于时间显示用的,位数很少,频繁度不高,感觉还好,其它几路数据变化不大。

设计成多路输出的方法很可取,很值得参考。

如果要求比较高的话,那可能只有增加一些协处理器了。

4\1XC51+15XHC573+20XNPN5.完全数字电路实现LED驱动问题.如果规模大的话,用CPLD 7000系列的就可搞定了,成本也不高的啦!!6.分组扫描例如:用共阳的LED,10组,每组用一个PNP控制电源,每组分别用164串30个LED。

七段数码管驱动电路设计

七段数码管驱动电路设计

七段数码管驱动电路设计说起这七段数码管驱动电路设计,咱们得先来聊聊它是个啥宝贝。

想象一下,那些电子钟、计算器上闪烁的数字,还有咱们游戏机上计分用的那些酷炫数字,它们背后可都离不开这七段数码管的默默付出。

今儿个,咱们就来手把手,用大白话聊聊怎么给这七段数码管搭个温馨的小窝,让它能在咱的电路世界里大放异彩。

一、初探七段数码管首先,咱们得认识这位主角——七段数码管。

它呀,就像是个简约版的霓虹灯,由七条线段(a到g)和一个小数点组成,通过不同的组合,能显示出0到9这十个数字,外加一些简单的字符。

想象一下,这七条线段就像是小朋友手里的画笔,一笔一划地勾勒出数字的模样,多有趣!1.1 挑选合适的数码管挑数码管,得看看它是共阳极的还是共阴极的。

这就像选房子,有的房子阳台朝南采光好(共阳极),有的则朝北凉爽些(共阴极)。

选对了,后续设计才省心。

1.2 理解工作原理数码管工作的秘密在于电流。

咱们通过控制哪些线段通电,哪些不通电,来“画”出不同的数字。

这就像是在玩灯光秀,开灯关灯之间,数字就活灵活现地出现了。

二、设计驱动电路接下来,就是给数码管找个好搭档——驱动电路。

这就像是给数码管找了个司机,告诉它啥时候该亮,啥时候该暗。

2.1 选择驱动芯片市面上有好多驱动芯片,比如74HC595、TM1637等,它们就像是不同类型的汽车,有的省油(功耗低),有的跑得快(驱动能力强)。

咱们得根据实际需求,挑个最合适的。

2.2 搭建电路框架搭电路就像搭积木,把电源、驱动芯片、数码管还有必要的电阻电容按规矩摆好。

电源是心脏,驱动芯片是大脑,数码管是显示屏,电阻电容则是调节器,保证电路稳定运行。

2.3 编程控制电路搭好了,还得给它编个程序,告诉它怎么工作。

这就像是在教小朋友跳舞,一步步指导它怎么迈步、转身。

编程时,咱们得设定好每个数字对应的线段组合,让数码管能按咱们的意愿显示。

三、调试与优化电路搭完,程序编好,接下来就是见证奇迹的时刻了。

Protues 中AT89C51驱动6个数码管电路

Protues 中AT89C51驱动6个数码管电路

软件说明p1:字型控制口;p2:字位控制口;要显示的内容分别存放在30H,31H,32H单元。

先将每个存储单元中要显示的内容(二进制数)转换成2位BCD码,再用查表程序查出2位BCD码分别对应的控制数码管的控制信号,分时送p1,同时分别将对应的位选信号送p2。

循环显示3个单元内容。

30H,31H,32H:分别存放欲显示的内容;40H:转换成BCD码时的暂存单元;41H:转换成数码管控制信号的暂存单元;50H:字位控制信号暂存单元;r0:间址显示内容单元;r6:6位数码管是否循环完控制单元;由于显示子程序中每次显示一个存储单元内容,即显示2个数码管内容,故6/2=3,r6中设置循环次数为03H。

r7:循环系数。

主程序流程图图1 主程序流程图图2 BCD码转换子程序流程图图3 显示子程序流程图;主程序ORG 0000HLJMP MAINORG 0030HMAIN:MOV SP,#60HMOV 30H,#24HMOV 31H,#30HMOV 32H,#0CHLOOP:MOV 50H,#01HMOV R0,#30HMOV R6,#03HMOV R7,#03HLOOP1:MOV A,@R0LCALL B_DLCALL DIPDJNZ R7,NEXT1LJMP LOOPNEXT1:INC R0LJMP LOOP1;BCD码转换子程序B_D:MOV B,#10DIV ABSWAP AADD A,BRET;显示子程序DIP:MOV 40H,AANL A,#0FH;显示第一位MOV DPTR,#TABMOVC A,@A+DPTRMOV 41H,AMOV A,#0FFH;一下两句是使显示的数据不相互串位MOV P1,AMOV A,50HMOV P2,AMOV A,41HMOV P1,ALCALL DEL1MSMOV A,50HRL AMOV 50H,AMOV A,40H;显示第二位ANL A,#0F0HSWAP AMOVC A,@A+DPTRMOV 41H,AMOV A,#0FFHMOV P1,AMOV A,50HMOV P2,AMOV A,41HMOV P1,ALCALL DEL1MSDJNZ R6,NEXT2MOV A,#01HSJMP DONENEXT2:MOV A,50HRL ADONE:MOV 50H,ARET;1ms延时子程序DEL1MS:MOV R1,#10L2:MOV R2,#50L1:DJNZ R2,L1DJNZ R1,L2RET;在ROM中建立数码管控制信号表格TAB:DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H DB 80H,90HEND。

4位7段数码管驱动电路设计要求

4位7段数码管驱动电路设计要求

4位7段数码管驱动电路图1 开发板电路原理图信号说明1. iRST_N(异步复位)当iRST_N信号为低时,Seg7_Driver模块中的所有寄存器异步复位为初值。

2. iCLK模块的输入时钟40MHz。

3. iSeg_Val[15:0]7段数码管输入二进制值,0x0~0xFiSeg_Val[15:12],左侧第一位7段数码管的值。

iSeg_Val[11: 8],左侧第两位7段数码管的值。

iSeg_Val[ 7: 4],左侧第三位7段数码管的值。

iSeg_Val[ 3: 0],左侧第四位7段数码管的值。

4. iDot_Val[3:0]各位7段数码管小数点的显示,值为1表示显示小数点,0表示不显示小数点。

iDot_Val[3],左侧第一位7段数码管的小数点。

iDot_Val[2],左侧第两位7段数码管的小数点。

iDot_Val[1],左侧第三位7段数码管的小数点。

iDot_Val[0],左侧第四位7段数码管的小数点。

5. oDisplay[7:0]7段数码管的数据信号。

4位7段数码管共用数据信号。

7段数码管为共阳极连接,各段数据线为0时,对应段发光。

6. oDis_En[3:0]各位7段数码管的使能信号,低有效。

oDis_En[3],左侧第一位7段数码管的使能信号。

oDis_En[2],左侧第两位7段数码管的使能信号。

oDis_En[1],左侧第三位7段数码管的使能信号。

oDis_En[0],左侧第四位7段数码管的使能信号。

建议的分块:将整个驱动电路分成Seg7_Ctrl模块与Seg7_Hex2seg模块Seg7_Ctrl模块负责产生数码管动态显示的控制信号oDis_En的时序Seg7_Hex2Seg模块负责将二进制值转换成数据码管显示的数据值,包括小数点的值。

注意点:1. 动态显示过程是利用人眼的视觉残留现象来实现的,应选择适当的数码管扫描频率。

可先选择数码管的扫描显示的刷新率为125Hz(8ms),即每位数码管用2ms。

组合电路——7段数码管显示驱动电路设计报告

组合电路——7段数码管显示驱动电路设计报告

实验一、组合电路——7段数码管显示驱动电路设计一、实验目的了解EDA实验箱7位八段数码管显示模块的工作原理,设计标准扫描驱动电路模块,以备后面实验用。

二、硬件要求主芯片为Cyclone V E,型号为EP4CE22F17C8,7位八段数码管显示器,四位拨码开关。

三、实验内容用四位拨码开关产生8421BCD码,用CPLD分别产生7段数码管扫描驱动电路,然后进行仿真,观察波形,正确后编程下载实验测试。

四、实验原理1、72、动信号a,b,c,d,e,f,g。

通过调节四位拨码开关的状态,数码管应显示与之对应的字符。

五、实验连线输入:将芯片管角a0~a3分别接4个拨码开关;输出:将芯片管角led7s0~7分别接到数码管7段驱动信号a、b、c、d、e、f、g上。

六、实验源程序:decl7s.vhdlibrary ieee;use ieee.std_logic_1164.all;entity decl7s isport(a:in std_logic_vector(3 downto 0);led7s:out std_logic_vector(6 downto 0));end;architecture one of decl7s isbeginprocess(a)begincase a iswhen "0000" => led7s<="0111111"; when "0001" => led7s<="0000110"; when "0010" => led7s<="1011011"; when "0011" => led7s<="1001111"; when "0100" => led7s<="1100110"; when "0101" => led7s<="1101101"; when "0110" => led7s<="1111101"; when "0111" => led7s<="0000111"; when "1000" => led7s<="1111111"; when "1001" => led7s<="1101111"; when "1010" => led7s<="1110111"; when "1011" => led7s<="1111100"; when "1100" => led7s<="0111001"; when "1101" => led7s<="1011110"; when "1110" => led7s<="1111001"; when "1111" => led7s<="1110001"; when others => null;end case;end process;end;七、波形仿真结果。

(完整)7段数码管显示电路

(完整)7段数码管显示电路

4.4 显示模块4。

4。

1 7段数码管的结构与工作原理7段数码管一般由8个发光二极管组成,其中由7个细长的发光二极管组成数字显示,另外一个圆形的发光二极管显示小数点。

当发光二极管导通时,相应的一个点或一个笔画发光。

控制相应的二极管导通,就能显示出各种字符,尽管显示的字符形状有些失真,能显示的数符数量也有限,但其控制简单,使有也方便.发光二极管的阳极连在一起的称为共阳极数码管,阴极连在一起的称为共阴极数码管,如图4.9所示.4。

4.2 7段数码管驱动方法发光二极管(LED 是一种由磷化镓(GaP)等半导体材料制成的,能直接将电能转变成光能的发光显示器件.当其内部有一一电流通过时,它就会发光.7段数码管每段的驱动电流和其他单个LED 发光二极管一样,一般为5~10mA ;正向电压随发光材料不同表现为1.8~2.5V 不等。

7段数码管的显示方法可分为静态显示与动态显示,下面分别介绍。

(1) 静太显示所谓静态显示,就是当显示某一字符时,相应段的发光二极管恒定地寻能可截止。

这种显示方法为每一们都需要有一个8位输出口控制。

对于51单片机,可以在并行口上扩展多片锁存74LS573作为静态显示器接口。

静态显示器的优点是显示稳定,在发光二极管导通电注一定的情况下显示器的亮度高,控制系统在运行过程中,仅仅在需要更新显示内容时,CPU 才执行一次显示更新子程序,这样大大节省了CPU 的时间,提高了CPU 的工作效率;缺点是位数较多时,所需I/O 口太多,硬件开销太大,因此常采用另外一种显示方式——动态显示。

(2)动态显示所谓动态显示就是一位一位地轮流点亮各位显示器(扫描),对于显示器的每一位而言,每隔一段时间点亮一次。

虽然在同一时刻只有一位显示器在工作(点亮),但利用人眼的视觉暂留效应和发光二极管熄 灭共阴极7段数码管内部字段LED 和引脚分 共阳极图4.9 7段数码管结构图时的余辉效应,看到的却是多个字符“同时”显示.显示器亮度既与点亮时的导通电流有关,也与点亮时间和间隔时间的比例有关。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
HANG Guofeng.WANG Jingtian 基于PIC12C508的LED显示电路 -现代电 子技术2009,32(14)
为了在人机对话中节省单片机I/O口,降低硬件成本,在此借助于2片移位寄存器设计一种新式的LED显示模块.用PIC12C508的三个 I/O口模拟数据输出和时钟输出,通过串行动态扫描,即位码和段码交替发送的方式驱动LED.阐述该模块的硬件结构和编程实例.这种方 式简单实用,极大地节省了I/O口,且显示效果良好.可广泛应用于各种仪器仪表、智能模块、远程控制系统中,尤其适应于廉价的便携式 系统及需要较多LED显示的大型控制系统中.
&! 硬件的基本原理
本电路的硬件结构由集成芯片 0&*$ 、 123("" 、 *3/>"(3 等组成, 主要集成器件介绍如 下: &4 "! 0&*$ 键盘显示接口芯片
! 收稿日期: &##" % "" % &) ! ! 作者简介: 蔺鹏 ( "$*) % ) , 男, 甘肃兰州人, 助理工程师4
多数字数码管显示驱动电路设计
作者: 作者单位: 刊名: 英文刊名: 年,卷(期): 引用次数: 蔺鹏, 王炜奇 兰州工业高等专科学校,计算机工程系,甘肃,兰州,730050 兰州工业高等专科学校学报 JOURNAL OF LANZHOU POLYTECHNIC COLLEGE 2002,9(1) 2次
・ *%・ 8 第 # 期8 8 8 8 8 8 8 8 8 8 8 蔺鹏, 等: 多数字数码管显示驱动电路设计8 8 8 8 """""""""""""""""""""""""""""""""""""""" 描输出线接 ! " #$ 线译码 %!&’#(! 的输入端, 输出端经 #$ 路驱动电路后, 每路同时驱动两 个数码管, 因此该电路可同时驱动 )* 位数码管, 位驱动电路可使用分立或集成的元器件来 实现, 只要能够使数码管正常工作即可。从本质上分析这个显示电路仍属于动态扫描显示, 所以只要控制好扫描频率, 就可实现正常显示。+*%, 的命令和数据口地址分别为 %---. 和 %/--.。程序流程如图 ! 所示, 显示子程序如下: 01’2&34:567 8 029:, ;%---. ; <+. 567 8 3, 567= 8 > 029:, 3 ;)!. 567 8 3, 567= 8 > 029:, 3 567 8 3, ;,<. 3 567= 8 > 029:, 567 8 029:, ;%/--. 567 8 :< ,;)<. 567 8 :* ,;#$. 01’:8 567 3, > :< 567= 8 > 029:, 3 1?@ 8 :< 0A?B 8 :* , 01’ :/9
图 !8 子程序流程
参考文献:
[#] 8 何立民C 单片机应用系统设计 [ 5] C 北京: 北京航空航天大学出版社, #,,<C [*] 8 杨文龙C 单片机原理及应用 [ 5] C 西安: 西安电子科技大学出版社, #,,)C
!"# $#%&’( )* +,-.&/-# 01$ $&%/-23 2(4 $5&6# 7&58,&. &1? 2DEF ,G3?H GDI J KI
( 9LD @MNOPQDR /EFIEFDDRIEF 0DOSRQNDEQ MT &SEULMP 2MVWQDXLEIX @MVVDFD, &SEULMP %)<<(< , @LIES)
9:%.528.:9LD YDZIFE MT NPVQIOVD &/0 YIZOVSW SEY YRI[D XIRXPIQ PZD ZMND VSRFD ZXSVD 1@ ZPXL SZ 1?9/&+*%, , @0!(## QM NS\D IQZ ZQRPXQPRD XMNOSXQ, IQZ XMZQ VM] SEY NS\D IQ ZINOVD QM ORMFRSNC 1Q XSE SQ VDSZQ TPVTIV )* ^IQ YIZOVSW , ZPIQ TMR QLD NMZQ SOOVIXSQIME XMEQRMV ZWZQDN SEY IZ ]MRQL PZIEF ]IYDVWC ;#3 <)54%:&/0;YWESNIX ZXSE ;ZQSQIX ZXSE;1?9/&+*%, 万方数据
图 ’6 /+@A** 芯片 图 *6 &’() 芯片
/%8,,外部时钟信号线, 时钟范围一般在 ’-9:; . <= *>:; 之间, &’() 逻辑单元工作
@6 显示驱动电路设计
&-<* 单片机为例的显示驱动电路 ( 如图 < ) 。
图 <6 显示驱动电路
万 方数据 &’() 的 4、 , 口显示数据输出线分别与两个 /+@A** 译码器的输入相接。 7%- . 7%< 扫
万方数据
・ ’C・ 6 6 6 6 6 6 6 6 6 6 兰 州 工 业 高 等 专 科 学 校 学 报6 6 6 6 6 6 6 6 6 第 ) 卷6 """""""""""""""""""""""""""""""""""""""" !"#$%&’() 芯片 ( 如图 * ) 是一种通用的可编程的键盘 显示接口器件, 单个芯片能完成键盘输入和 %$+ 显示控制 两种功能, 其有关管脚功能如下: 可直接与 /01 相连; +,- . +,( ,,数据总线, 23 ,,写控制信号线, 低电平有效; 4- ,,当 4- 5 * 时, /01 写入数据为命令字, 读出的 /01 读写的均为数据; 数据为状态字; 当 4- 5 - 时, 6 6 /7,,片选输入线, 低电平有效; 的时钟基准为 *--9:;; ?1#4- . ?1#4< ,,4 组显示数据输出线; ?1#,- . ?1#,< ,,, 组显示数据输出线, 两组数据可独立使用, 也可合并使用; 有两种工作方式, 即译码和编码输出; 7%- . 7%< ,,扫描输出线, ,+,,消隐输出线, 低电平有效。 ’= ’6 /+@A** ,/+—七段锁存 B 译码 B 驱动器 /+@A** ( 如图 ’ ) 能将 ,/+ 码译成七段码 ( 4 . >) , 驱动共阴极 %$+ 数码管, 其管脚功 能如下: 4、 ,、 /、 +,,,/+ 码输入端; %#,,发光二极管测试端, 低电平时 %$+ 显示全亮; ,!,,消隐控制端, 低电平有效; %$ ,,锁存使用端, %$ 5 - 时选通方式, %$ 5 * 时锁存。 ’= <6 (@%7*A@ 是 @ . *C 位译码器 它能将 @ 位编码信号转换为 *C 位译码信号。
3.期刊论文 刘清平 公交智能控制器的研究 -城市车辆2008(10)
在STC89C52的基础上由ISD1420语音芯片、LED数码管、矩阵式键盘、DS18B20温度传感器、CYBER8EN湿度传感器等部分组成.利用 STC89C52单片机作为CPU来进行总体控制,通过语音芯片ISD1420组成的语音控制电路能够建立多段语音库信息,并且可以对这些段的语 音信息进行自由的组合,形成变化多样的语音提示信息,同时使用LED数码管及矩阵式键盘电路,能够实现公交车的语音报站及站牌显示 .在CPU控制模式下,LED数码管显示及矩阵式键盘电路由HD7279A进行管理,可以实现对数码管的动态扫描及对键盘的消抖,并通过软件来 实现键号所对应键的功能,同时STC89C52内部产生的1s中断可对温度及湿度进行采样.因此当汽车到达某站时通过键盘来控制本系统进 行工作,通过语音输出电路进行语音报站和提示,通过LED数码管可以对温度、湿度及站牌进行显示.
4.期刊论文 刘清平.Liu Qingping 公交智能控制器的研究 -科技广场2008(8)
在STC89C52的基础上系统由ISD1420语音芯片、LED数码管、矩阵式键盘、DS18B20温度传感器、CYBER8EN湿度传感器等部分组成 .利用STC89C52单片机作为CPU来进行总体控制,通过语音芯片ISDl420组成的语音控制电路能够建立多段语音库信息,并且可以对这些段 的语音信息进行自由的组合,形成变化多样的语音提示信息,同时使用LED数码管及矩阵式键盘电路,能够实现公交车的语音报站及站牌 显示.在CPU控制模式下,LED数码管显示及矩阵式键盘电路由HD7279A进行管理,可以实现对数码管的动态扫描及对键盘的消抖,并通过软 件来实现键号所对应键的功能,同时STC89C52内部产生的1s中断可对温度及湿度进行采样.因此当汽车到达某站时通过键盘来控制系统 进行工作,通过语音输出电路进行语音报站和提示,通过LED数码管可以对温度、湿度及站牌进行显示.
"""""""""""""""""""""""""""""""""""""""" ! ! 文章编号: "##$ % &&’$ ( &##& ) #" % ##&( % #)
相关文档
最新文档