EDA考试要点

合集下载

EDA考试必考知识点

EDA考试必考知识点

EDA考试必考知识点好嘞,以下是为您创作的关于“EDA 考试必考知识点”的文案:咱先来说说 EDA 这玩意儿,这可在电子信息领域里有着相当重要的地位!对于准备 EDA 考试的小伙伴们,下面这些必考知识点可得好好掌握啦。

先说 EDA 工具的使用,就像咱平时用的手机,得知道每个功能咋用才能玩得转。

比如说像 Cadence、Synopsys 这些主流的 EDA 工具,得熟悉它们的操作界面,知道怎么去画原理图、做版图设计。

我记得有一次,我带着学生做一个简单的电路设计项目,有个同学对工具的使用不太熟练,画个简单的电阻都找半天工具按钮,那着急的样子真让人哭笑不得。

这就告诉咱,熟练掌握工具的使用,那是基础中的基础。

再说说硬件描述语言,Verilog HDL 和 VHDL 那可是重点中的重点。

就好比我们说话得有语法,写代码也得遵循一定的规则。

得搞清楚怎么用这些语言去描述逻辑电路,怎么写状态机,怎么进行时序控制。

记得我之前参加一个竞赛,团队里有个小伙伴因为对硬件描述语言的一些细节没掌握好,导致整个模块的功能出现了偏差,最后我们不得不加班加点去修改,那叫一个累啊!还有数字电路的设计原理,像组合逻辑电路、时序逻辑电路这些,那是必须要搞明白的。

比如加法器、计数器、寄存器,得清楚它们的工作原理和实现方法。

我曾经在一次实验课上,看到一个学生设计的计数器总是出错,后来一检查,原来是对时钟信号的理解不到位,这可让他吃了大亏。

另外,EDA 中的综合优化技术也别忽略。

怎么把写好的代码优化得更高效,占用资源更少,这可是个技术活。

就像咱们收拾房间,得把东西整理得井井有条,既节省空间又方便取用。

还有仿真验证,这就像是考试前的模拟测试,得保证设计的电路能正常工作。

学会怎么设置仿真条件,怎么分析仿真结果,找出问题所在。

我之前指导过一个毕业设计,学生做完设计觉得没问题了,一仿真,结果出来一堆错误,这时候才发现自己忽略了很多细节。

总之,要想在 EDA 考试中取得好成绩,上面这些知识点可得牢牢掌握。

EDA考试必考知识点

EDA考试必考知识点

考试题型:简答题,程序语句解释,程序填空,编程EDA就是以计算机为工作平台,以EDA软件工具为开发环境,以PLD器件或者ASIC专用集成电路为目标器件设计实现电路系统的一种技术。

现代EDA技术的特征:1,、采用硬件描述语言进行设计2、逻辑综合与优化3、开放性和标准化4.、更完备的库。

数字系统设计技术:1、Topdown即自顶向下的设计。

这种设计首先从系统设计下手,在顶层进行功能方框图的划分和结构设计。

须经过“设计—验证—修改设计再验证”的过程,不断反复,直到结果能够实现所要求的功能,并在速度、功耗、价格和可靠性方面实现较为合理的平衡。

2、Bottomup设计,即自底向上的设计,由设计者调用设计库中的元件(如各种门电路、加法器、计数器等) ,设计组合出满足自己需要的系统。

不仅效率低、成本高而且易出错。

IP:原来的含义是指知识产权、著作权,在IC设计领域指实现某种功能的设计。

IP核(IP模块):指功能完整,性能指标可靠,已验证的、可重用的电路功能模块。

IP复用:软IP--用VHDL等硬件描述语言描述的功能块,但是并不涉及用什么具体电路元件实现这些功能。

固IP完成了综合的功能块。

硬IP供设计的最终阶段产品:掩膜。

基于IP复用的开发帮助设计者节省时间,缩短开发周期,避免重复劳动。

可编程逻辑阵列PLA,可编程与阵列或阵列,输出电路固定。

可编程阵列逻辑PAL,可编程与阵列,或阵列输出电路固定。

FPGA是一种半定制的器件,器件内已做好各种逻辑资源,用户只需对器件内的资源编程连接就可实现所需要的功能。

ASIC指用全定制的方法来实现设计的方式,它在最底层,即物理版图级实现设计,因此也称为掩膜ASCI。

CPLD即复杂可编程逻辑器件,是从EPLD改进而来的。

逻辑综合:RTL级描述转换到逻辑门级(包括触发器)。

版图综合或结构综合:从逻辑门表示转换到版图表示,或转换到PLD器件的配置网表表示。

综合器是能够自动实现上述转换的软件工具,是能将原理图或HDL语言描述的电路功能转化为具体电路结构网表的工具。

EDA考试总结

EDA考试总结

1.EDA:Electronic Design Automation 中文:电子设计自动化2.EDA涵义:①以CPLD为载体②VHDL语言为主要表达方式③以计算机以及MAX+PlusⅡ以及实验开发系统为实验设计工具④自动完成软件到硬件的逻辑编译、综合仿真直至完成目标器件的下载,最后形成专用集成芯片的技术3.EDA主要内容:①大规模可编程逻辑器件②硬件描述语言③软件开发工具④实验开发系统4.EDA的工程设计流程:①源程序的编辑和编译②逻辑综合和优化③目标器件的布线/适配④目标器件的编程/下载⑤设计过程中的有关仿真⑥硬件仿真/硬件测试5.数字系统:①定义:交互式的、以离散形式表示的,具有存储、传输、信息处理能力的逻辑子系统的集合②模型:a.数据处理子系统(完成数据的采集、存储、运算和传输)b.控制子系统(执行数字系统算法的核心,具有记忆功能,因此控制子系统是时序系统)③设计方法:自定向下、由粗到细、逐步求精④设计准则:a.分割准则 b.系统的可观测性c.同步异步电路d.最优化设计e.系统设计的艺术6.PLD①定义:可编程逻辑器件(Programmable Logic Devices)是一种由用户编程以实现某种逻辑功能的新型逻辑器件②基本结构:a.输入缓冲(变量驱动能力上升)b.与阵列(乘积项)c.或阵列(和项)d.输出缓冲(可实现多种输出形式)③分类:a结构的复杂程度:简单的PLD和复杂的PLD,或低密度的PLD或高密度的PLD b.从互联结构:确定型(除FPGA外)和统计型c.从可编程特性分:一次可编程(包括PROM、PAL、熔丝型FPGA)和重复可编程d.从可编程器件的编程原件上分:熔丝型开关(非易失)、可编程低阻电路原件、EPROM的编程原件(非)、EEPROM(非)、SRAM7.MAX7000的结构:①逻辑阵列块(LAB)②宏单元(uc)③扩展乘积项(共享并联)④可编程阵列连线PLA⑤I/O控制模块8.MAXPlusⅡ的输入方法:a.原理图输入(.gdf)b.波形输入(.scf)c.文本输入(.tdf/vhd)9.VHDL程序的基本结构:①库、程序包使用说明(用于打开或调用本设计实体将要用的的库、程序包)②实体说明(对这个设计实体与外部电路进行接口描述)③实体对应结构体的说明(用于描述设计实体的内部结构,以及实体端口间的逻辑关系)10.VHDL的文字规则:①数字型(整数、实数(十进制,有小数点)、数制)②字符串型③标识符(以字母开头不连续使用下划线不以下划线结尾)④下标明和下标段名11.数据对象:①常量(constant):实体、结构体、块、程序包、进程、子程序②变量(variable)(局部量):进程、子程序③信号(signal):实体、结构体、程序包12.信号和变量的区别:变量是一个局部量只能在进程和子程序中使用,它的赋值是立即发生的,是一种时间延迟为零的赋值行为,变量的设置有时只是一种过渡.信号具有全局性特征可作为模块间的信息载体,可以设置延迟量,在同一进程中,同一信号赋值目标有多个赋值源时,信号赋值目标获得的是最后一个赋值源的赋值.常量恒定不变,全局,恒定电平13.库的种类:①ieee库②std③work④vital14.常用的程序包:①std_logic_1164程序包②std_logic_arith③std_logic_unsigned和std_logic_signed④standard和textio15.VHDL描述风格:①行为描述②数据流描述(RTL)③结构描述16.数据类型的分类:1.VHDL的预定义数据类型:①布尔(boolean)②位(bit)③位矢量(bit_vector)④整数(integer)2.其他预定义标准数据类型:无符号(unsigned type) 有符号(signed type)3.ieee预定义标准逻辑位与矢量:标准逻辑位(std_logic)、标准逻辑矢量(std_logic_vector)4.用户自定义数据类型:type、子类型subtype5.枚举类型6.数组1.用case描述4选1多路选择器:2.8位奇偶校验Library ieee; Library ieee;Use ieee.std_logic_1164.all; Use ieee.std_logic_1164.all; entity mux41 is entity jiou isport(s1,s2:in std_logic; port(A: in std_logic_vector(7 downto 0);A,B,C,D:in std_logic; Y:out std_logic);Z:out std_logic); end entity jiou;End entity mux41; Architecture art of jiou isArchitecture art of mux41 is signal TMP:std_logic;Signal s:std_logic_vector(1 downto 0); begin (variable n: integer;) Begin process(A)isS<=s1&s2; beginProcess(s,A,B,C,D)is TMP<=’0’;Begin for n in 0 to 7 loop (while n<=7 loop) Case s is TMP<=TMP XOR A(N); (tmp<=tmp xor A(N);) When “00”=>Z<=A; end loop; (n:=n+1;)When “01”=>Z<=B; Y<=TMP;When “10”=>Z<=C; end process;When “11”=>Z<=D; end architecture art;When others =>Z<=’X’; 4.计数器10End case; library ieee;End process; use ieee.std_logic_1164.all;End architecture art; use ieee.std_logic_unsigned.all;3.非同步d触发器 entity jishu10 isLibrary ieee; port(clr,clk,load:in std_logic;Use ieee.std_logic_1164.all; q:buffer std_logic_vector(3 downto 0)); entity dff2 is end entity jishu10;port(clk,d,reset,clr:in std_logic; architecture art of jishu10 isq:out std_logic); beginend entity dff2; process(clk,clr)isarchitecture art of dff2 is beginbegin if(clr=’1’or q=”1001”)thenprocess(clk,reset,clr)is q<=”0000”;begin elsif(clkevent and clk=’1’)thenif(reset=’1’)then if load=’1’thenq<=’1’; q<=”0000”;elsif(clr=’1’)then elseq<=’0’; q<=q+1;elsif(clkevent and clk=’1’)then end if;q<=d; end if;end if; end process;end process; end architecture art;end architecture art;【上传及2转请注明出处:MY&夏夜辰】。

EDA考试重点复习重点

EDA考试重点复习重点

EDA1. FPGA Field-Programmable Gate Array 现场可编程门阵列2 VHDL Very-High-Speed Integrated Circuit Hardware Description Language)甚高速集成电路硬件描述语言3 HDL Hardware Description Language硬件描述语言 5 CPLD Complex Programmable Logic Device复杂可编程逻辑器件6 PLD Programmable Logic Device 可编程逻辑器件7 GAL generic array logic通用阵列逻辑8. LAB Logic Array Block逻辑阵列块9. CLB Configurable Logic Block 可配置逻辑模块10 EABE mbedded Array Block 嵌入式阵列块11,SOPC System-on-a-Programmable-Chip 可编程片上系统12. LUT Look-Up Table 查找表13. JTAG Joint Test Action Group 联合测试行为组织14.IP Intellectual Property 知识产权15ASIC Application Specific Integrated Circuits 专用集成电路16 ISP In System Programmable 在系统可编程17 ICR In Circuit Re-config 在电路可重构18 RTL Register Transfer Level 寄存器传输19EDA E lectronic Design Automation 电子设计自动化1.信号与变量的区别:信号赋值语句在进程外作为并行语句,并发执行,与语句所处的位置无关。

变赋值语句在进程内或子程序内作为顺序语句,按顺序执行,与语句所处的位置有关。

EDA技术复习资料(完全版)

EDA技术复习资料(完全版)

EDA技术复习资料一、填空1、EDA设计流程包括设计准备、设计输入、设计处理、和器件编程序四个步骤。

2、EDA的设计验证包括功能仿真、时序仿真和器件测试三个过程。

3、EDA的设计输入主要包括文本输入方式、图形输入方式、和波形输入方式。

4、文本输入是指采用硬件描述语言进行电路设计的方式。

5、功能仿真实在设计输入完成以后,选择具体器件进行编译之前进行的逻辑功能验证,因此又称为前仿真。

6、时序仿真实在选择了具体器件并完成布局、布线之后进行的时序关系仿真,因此又被称为后仿真或延时仿真。

7、当前最流行的并成为IEEE标准的硬件描述语言包括VHDL、和VERILOG HDL。

8、EDA工具大致分为设计输入编辑器、仿真器、HDL综合器、适配器(或布局布线器)、以及下载器等五个模块。

9、IEEE于1987年将VHDL采纳为IEEE#1076标准。

10、用VHDL语言书写的源文件。

即是程序又是文档,即是工程技术人员之间交换信息的文件,又可作为合同签约者之间的文件。

11、用VHDL设计的电路,既可以被高层次的系统调用,成为系统的一部分,也可以作为一个电路的功能快独立存在和独立运行。

12、VDHL设计实体的基本结构由库、程序包、实体、结构体和配臵等部分构成。

13、实体和结构体是设计实体的基本组成部分,它们可以构成最基本的VHDL程序。

14、根据VHDL语法规则,在程序中使用的文字、数据对象、数据类型都需要预先定义。

15、VHDL的实体由实体声明部分和结构体组成。

16、VHDL的实体声明部分制订了设计单元的输入输出端口或引脚,它是设计实体对外的一个通信界面,是外界可以看到的部分。

17、VDHL的结构体用来描述设计实体的逻辑结构和逻辑功能,它由VHDL语句构成,是外界看不到的部分。

18、在VHDL的端口声明语句中,端口方向包括IN、OUT、INOUT和BUFFER。

19、VHDL的数据型文字包括整数文字、实数文字、以数制基数表示的文字和物理量文字。

EDA考试必考知识点

EDA考试必考知识点

EDA考试必考知识点咱先来说说 EDA 这玩意儿哈,这在考试里可重要得很呢!就像你去参加一场刺激的冒险,EDA 知识就是你手中的关键地图。

首先,EDA 的基本概念那是必考的。

啥是 EDA 呢?简单来说,就是电子设计自动化,它能帮工程师们更高效地设计电路和系统。

比如说,有一次我去一个电子厂参观,看到工程师们坐在电脑前,用 EDA软件就像变魔术一样,把复杂的电路设计得井井有条。

那场面,真让人惊叹!然后就是 EDA 工具的使用。

像那些常见的 EDA 工具,比如Cadence、Altium Designer 等等,你得熟悉它们的操作界面、功能模块。

我记得有个学生,在考试前拼命练习工具的使用,结果考试的时候碰到一个相似的题目,轻松就拿下了高分。

再说说硬件描述语言,像 VHDL 和 Verilog 这俩“大佬”。

你得搞清楚它们的语法规则、数据类型、控制结构。

想象一下,你要用这些语言来给电路“说话”,告诉它该怎么做。

这就好比你指挥一个机器人,得把指令说得明明白白。

还有数字电路设计,这也是重点中的重点。

什么组合逻辑电路、时序逻辑电路,都得弄得清清楚楚。

我曾经遇到过一个实际的案例,一个电路出现故障,就是因为时序逻辑没设计好,导致整个系统都乱套了。

另外,系统级设计也是必考的一块儿。

从顶层到底层,怎么把一个大的系统分解成一个个小模块,再把它们整合起来,这可需要不少功夫。

在 EDA 考试中,仿真和验证也是不能忽视的。

你设计好的电路到底行不行,得通过仿真来验证一下。

就像你做好了一道菜,得尝尝味道对不对。

最后,可别忘了综合和布局布线。

这就像是给你的电路找个合适的“家”,让它能舒舒服服地工作。

总之,EDA 考试的必考知识点就像一个个宝藏,你得用心去挖掘、去掌握。

只要你认真学习,多做练习,相信在考试中一定能取得好成绩,顺利开启你的电子设计之旅!加油吧!。

EDA考试复习内容

1 .EDA Electronic Design Automation技术的含义:实现载体:以大规模可编程逻辑器件为载体。

描述方式:以硬件描述语言(VHDL)为系统逻辑描述的主要表达方式。

设计工具:以计算机、大规模可编程器件的开发软件及实验开发系统为设计工具。

用软件方式自动积成电子器件的一门多学问的新技术。

EDA技术的主要内容( 1 ) 大规模可编程逻辑器件可编程逻辑器件(简称PLD)是一种由用户编程以实现某种逻辑功能的新型逻辑器件。

(2) 表达方式——硬件描述语言(标准化高)VHDL、Verilog HDL、ABEL(3) EDA软件开发工具2仿真工具p10功能仿真:是直接对系统逻辑功能进行测试,以了解其实现的功能是否满足原设计的要求的过程,仿真过程不涉及具体器件的硬件特性,用于验证系统的功能。

时序仿真:接近真实器件运行特性的仿真,仿真文件中己包含了器件硬件特性参数,用于验证系统的时序特性、系统性能。

3.EDA技术的核心是'综合"逻辑综合是将高层次描述自动转换为低层次描述的过程。

是EDA技术的核心可与FPGA/CPLD 或构成ASIC的门阵列基本结构相映射的网表文件,综合后的结果可以为硬件系统所接受。

4 传统方法EDA方法传统方法1.从下至上2.通用的逻辑元、器件3.系统硬件设计的后期进行仿真和调试4.主要设计文件是电原理图EDA方法1.自上至下2.可编程逻辑器件3.系统设计的早期进行仿真和修改4.多种设计文件,发展趋势以HDL描述文件为主5.降低硬件电路设计难度5 FPGA/CPLD设计流程是什么?6CPLD和PFGA的主要区别p75什么是CPLD ? 什么是FPGA?各什么特点?一. 结构上的不同:FPGA : (现场可编程门阵列)1) 内部互联结构由多种长度的连线资源组成,每次布线的延迟可不同,属统计型结构;2) 逻辑单元主体由静态存储器(SRAM) 构成的函数发生器(即查找表), 通过查找表可实现逻辑函数功能;3) 采用SRAM工艺,含查找表逻辑单元.CPLD :复杂可编程逻辑器件1) 内部互联结构由固定长度的连线资源组成,布线的延迟确定, 属定型结构;2 ) (实现逻辑功能的基本单元不同)CPLD 由与或阵列组成, FPGA:FPGA 查找表.3) 采用EEPRO工艺任意一个逻辑组合都可以用”与-或”表达式来描述,能实现大量的逻辑功能.二.集成度不同CPLD EEPROM 500—50 000门(颗粒大,容量有限。

EDA考试重点加考题

EDA考试重点加考题EDA(电⼦设计⾃动化)利⽤EDA⼯具,采⽤可编程器件,通过设计芯⽚来实现系统功能,这样不仅可以通过芯⽚设计实现多种数字逻辑系统功能,⽽且由于管脚定义的灵活性,⼤⼤减轻了电路图设计和电路板设计的⼯作量和难度,从⽽有效地增强了设计的灵活性,提⾼了⼯作效率;同时基于芯⽚的设计可以减少芯⽚的数量,缩⼩系统体积,降低能源消耗,提⾼系统的性能和可靠性。

ASIC(Application Specific Integrated Circuits)直译为“专⽤集成电路”,与通⽤集成电路相⽐,它是⾯向专门⽤途的电路,以此区别于标准逻辑(Standard Logic)、通⽤存储器、通⽤微处理器等电路Asic优点1 提⾼了产品的可靠性。

(2) 易于获得⾼性能(3) 可增强产品的保密性和竞争⼒。

(4) 在⼤批量应⽤时,可显著降低产品的综合成本。

(5) 提⾼了产品的⼯作速度。

(6) 缩⼩了体积,减轻了重量,降低了功耗。

系统结构设计ASIC 分解逻辑设计电路设计逻辑布线模拟可测性分析及故障模拟版图设计及模拟验证设计定型制作样⽚样⽚功能评价投产ASIC 按功能的不同可分为数字ASIC、模拟ASIC和微波ASIC;按使⽤材料的不同可分为硅ASIC和砷化镓ASIC。

按照设计⽅法的不同,设计ASIC可分为全定制和半定制两类。

全定制法是⼀种基于晶体管级的设计⽅法,半定制法是⼀种约束性设计⽅法。

约束的⽬的是简化设计、缩短设计周期、提⾼芯⽚成品率。

EDA(Electronic Design Automation)即电⼦设计⾃动化。

EDA技术指的是以计算机硬件和系统软件为基本⼯作平台,继承和借鉴前⼈在电路和系统、数据库、图形学、图论和拓扑逻辑、计算数学、优化理论等多学科的最新科技成果⽽研制成的商品化通⽤⽀撑软件和应⽤软件包。

EDA技术可粗略分为系统级、电路级和物理实现级三个层次的辅助设计过程;从另⼀个⾓度来看,EDA技术应包括电⼦电路设计的各个领域,即从低频电路到⾼频电路,从线性电路到⾮线性电路,从模拟电路到数字电路,从分⽴电路到集成电路的全部设计过程。

EDA复习要点全

主要知识点1、从执行方式看VHDL的描述语句包括那些描述语句?用VHDL语言进行设计时,按描述语句的执行顺序进行分类,可将VHDL语句分为顺序执行语句(Sequential)和并行执行语句(Parallel)。

2、目前流行的硬件描述语言有那些?常用的硬件描述语言有ABEL-HDL AHDL.VHDL和Verilog-HDL.而VHDL和Verilog-HDL是当前最流行的并成为IEEE标准的硬件描述语言。

3、MAX+PLUS2中各种文件的扩展名有哪些?*.vhd *.sym *.gdf *.scf4、基于MAX+PLUS2的设计流程设计输入、编译处理、验证(包括功能仿真、时序仿真、和定时分析)和器件编程5、目前较流行的EDA设计软件有那些?ALTERA公司: MAX+PLUS IIQUARTUS II(全新的EDA软件,正在逐步替代 MAX+PLUS) LATTICE莱迪思公司: isp EXPERT SYSTEMisp DesignExpert SYSTEM XILINX西林公司: FOUNDATIONISE(全新的EDA软件,正在逐步替代FOUNDATION)6、可编程逻辑器件的分类?按照变成工艺分哪些类?SPLD 简单可编程逻辑器件CPLD 复杂可编程逻辑器件FPGA 现场可编程门阵列ISP 在系统(线)可编程逻辑器件按编程工艺分为:熔丝开关(一次可编程,要求大电流)可编程低阻电路元件(多次编程,要求中电压)EPROM型(紫外线擦除电可编程逻辑器件)E PROM型(电可擦写编程器件)基于SRAM的编程元件7、VHDL程序设计中常用的库有那些?哪些库是显式(默认打开的)的,哪些是隐式的?P159VHDL程序设计的常用库:IEEE库、STD库、WORK库、VITAL 库、用户定义库。

显示库:IEEE库用户定义库 VITAL库隐式库:、STD库、WORK库8、程序包由那两部分组成?分别有什么作用? P161程序包由两部分组成:程序包首和程序包体,程序包首为程序包定义接口,声明包中的类型、元件、函数和子程序。

《EDA技术及应用》考试复习要点

《EDA技术及应用》考试复习要点一.名词解释(5个小题,15分):包括1.1,1.3,1.6,3.2~3.8,4.2,5.1~5.4等章节的基本概念,基础知识.二.填空题(10空,共20分):包括1.1,1.3,1.6,3.2~3.8,4.2,5.1~5.4等章节的基本概念,基础知识.三.选择题(5个小题,共15分):包括1.1,1.3,1.6,3.2~3.8,4.2,5.1~5.4等章节的基本概念,基础知识.四.程序阅读_功能修改题(1个小题,10分)五.VHDL程序设计题(2个小题,共40分)包括3.9,3.10,6.3,6,5,6.6等章节,主要考试的程序设计类型有:触发器和锁存器;计数器(至少掌握3种方法,参见教材P283,P187-189);分频器(至少掌握2种方法,参见教材P287,327);数据动态扫描显示电路(包括计数,选择,译码等基本电路,主要是实验里每次都要用);数据寄存器(数码寄存器和移位寄存器)(参见教材185,186);序列信号发生器和检测器(参见教材P189,P191);读写存储器SRAM(参见教材P193-195)。

欧伟明2011年4月28日附:数据动态扫描显示电路的一个VHDL参考程序。

【例1】用VHDL设计一个8bits并行半加器,要求将被加数、加数和运算结果用动态扫描的方式,在7个共阴LED数码管上同时显示出来,其对应的数据动态扫描显示电路外围器件接线如图1所示,并使用MAX+plus II进行仿真。

图1 数据动态扫描显示电路外围器件接线图--DISPLAY.VHDLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;--实体说明ENTITY DISPLAY ISPORT(CLK:IN STD_LOGIC; --动态扫描显示时钟,24HZ以上AIN: IN STD_LOGIC_VECTOR(7 DOWNTO 0); --8位被加数BIN: IN STD_LOGIC_VECTOR(7 DOWNTO 0); --8位加数SUM0,SUM1,SUM2:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);--仿真观测输出COM:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);--数码管COM端的选择输出端SEG: OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); --数码管8段显示驱动输出端END ENTITY DISPLAY;ARCHITECTURE ART OF DISPLAY ISSIGNAL AA, BB,SINT: STD_LOGIC_VECTOR(8 DOWNTO 0);SIGNAL CNT:STD_LOGIC_VECTOR(2 DOWNTO 0);SIGNAL BCD:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGIN--进行运算前的准备及加法运算AA<='0'&AIN;BB<='0'&BIN;SINT<=AA+BB;SUM0<=SINT(3 DOWNTO 0); --运算结果的仿真观测输出SUM1<=SINT(7 DOWNTO 4); --运算结果的仿真观测输出SUM2<="000"&SINT(8); --运算结果的仿真观测输出--产生动态扫描显示的控制信号PROCESS(CLK)BEGINIF CLK'EVENT AND CLK='1' THENIF CNT="111" THENCNT<="000";ELSECNT<=CNT+'1';END IF ;END IF;END PROCESS;PROCESS(CNT)BEGIN--显示数据的选择,对应显示数码管公共端的选通,低电平有效 CASE CNT ISWHEN "000" =>BCD<=AIN(3 DOWNTO 0);COM<="1111110"; WHEN "001" =>BCD<=AIN(7 DOWNTO 4);COM<="1111101"; WHEN "010" =>BCD<=BIN(3 DOWNTO 0);COM<="1111011"; WHEN "011" =>BCD<=BIN(7 DOWNTO 4);COM<="1110111"; WHEN "100" =>BCD<=SINT(3 DOWNTO 0);COM<="1101111"; WHEN "101" =>BCD<=SINT(7 DOWNTO 4);COM<="1011111"; WHEN "110" =>BCD<="000"&SINT(8);COM<="0111111";WHEN OTHERS=>BCD<="0000";COM<="1111111";END CASE;--将BCD码转换成数码管的8段驱动信息,高电平有效CASE BCD ISWHEN "0000" => SEG<="00111111";WHEN "0001" => SEG<="00000110";WHEN "0010" => SEG<="01011011";WHEN "0011" => SEG<="01001111";WHEN "0100" => SEG<="01100110";WHEN "0101" => SEG<="01101101";WHEN "0110" => SEG<="01111101";WHEN "0111" => SEG<="00000111";WHEN "1000" => SEG<="01111111";WHEN "1001" => SEG<="01101111";WHEN "1010" => SEG<="01110111";WHEN "1011" => SEG<="01111100";WHEN "1100" => SEG<="00111001";WHEN "1101" => SEG<="01011110";WHEN "1110" => SEG<="01111001";WHEN "1111" => SEG<="01110001";WHEN OTHERS => SEG<="00000000";END CASE ;END PROCESS;END ARCHITECTURE ART;图1.33 数据动态扫描显示程序仿真图。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

《DEA 技术与应用》考点
1.综合的概念:综合的目的是将个模块化设计文件合并为一个网表文件,并使层次设计平面化(即展平)。

2.EDA 开发流程图:
3.专业名词:
PLD :(programmable logic device)可编程逻辑器件
CPLD :(complex PLD)复杂可编程逻辑器件
FPGA : (Field -Programmable Gate Array)现场可编程门阵列
ASIC :(application specific intergrated circuit )专用集成电路
EDA :(electronic disign automation )电子设计自动化
SOC :(system on a chip )单片电子系统
SOPC :(System-on-a-Programmable-Chip )可编程片上系统
IP : (Intellectual Property)知识产权核
ROM :(Read-Only Memory)只读内存
PROM :(Programmable Read-Only Memory)可编程只读存储器
EPROM : (Erasable Programmable ROM)可擦除可编程ROM
EEPROM : (Electrically Erasable Programmable Read-Only Memory)电可擦可编程只读存储器
PLA : Programmable Logic Array )可编程逻辑阵列
PAL : (programmable arrary logic)可编程阵列逻辑
GAL : (generic array logic)通用阵列逻辑
EPLD : (erasable PLD)可擦除可编程逻辑器件
OTP :(one time programmable)一次性编程
JTAG : (Joint Test Action Group)联合测试行为组织
BST : (Boundary-Scan Testing)边界扫描测试
ISP :(in system programmable)在系统编程
4.reg 与nets 的区别:(P111)
nets 型变量是输出值始终根据输入变化而更新的变量,它一般用来定义硬件电路中的各种物理连线。

register 型变量是一种数值容器,不仅可以容纳当前值,也可以保持历史值,这一属性与触发器或寄存器的记忆功能有很好的对应关系。

5.非阻塞(<=)与阻塞(=)赋值语句的差别:
答:非阻塞:不是立刻完成的,而是在块结束后才完成。

阻 塞:完成该赋值语句后才能执行下条语句,语句结束时即可得到。

功能仿真 综 合 原理图/文本编辑
时序与功能 门级仿真
FPGA/CPLD 适配 FPGA/CPLD 编程下载 FPGA/CPLD 器件和电路系统 1、isp 方式下载 2、JTAG 方式下载 3、针对SRAM 结构的配置 4、OTP 器件编程 1、功能仿真 2、时序仿真 逻辑综合器 结构综合器
6.task与function的区别:
答:task语句用来定义任务。

任务类似高级语言中的子程序,用来单独完成某项具体任务,并可以被模块或其他任务调用。

利用任务可以把一个大的程序模块分解成为若干小的任务,使程序清晰易懂,而且便于调试。

function语句用来定义函数,函数类拟高级语言中的函数,用来单独完成某项具体操作,并可以作为表达式中的一个操作数,被摸块或任务及其他函数调用,函数调用时返回一个用于表达式的值。

函数与任务存在的区别:
(1)任务可以有任意不同类型的输入/输出参数,函数不能将input类型作为输出。

(2)任务只可以在过程语句中调用,不能在连续赋值语句assign中调用;函数可以作为表达式中的一个操作数,在过程赋值语句和连续赋值语句中调用。

(3)任务可以调用其他任务或函数;函数可以调用其他函数,但不能调用任务。

(4)任务不向表达式返回值,函数向调用它的表达式返回一个值。

7.设计一个含异步清0和同步时钟使能的十进制加法计数器。

解:代码如下:
module cnt_10(clk,clr,cnt,ena,out); input clk,clr,ena;
output[3:0]out;
output cnt;
reg[3:0]out;
reg cnt;
always @(posedge clk or posedge clr) begin
if(clr)
begin
out=4'b0000;
cnt=0;
end
else if(ena)
if (out==4'b1001)
begin
out=4'b0000;
cnt=1;
end
else
begin
cnt=0;
out=out+1;
end
end
endmodule
8.设计一个串行数据检测器,连续输入3个或3个以上的“1”时,输出“1”,其他情况输出“0”。

解:module je111(x,z,clk,rst);
input x,clk,rst;
output z;
reg[1:0] state;
parameter s0='d0,s1='d1,s2='d2,s3='d3;
assign z=(state==s3)?1:0;
always @(posedge clk or negedge rst)
begin
if(!rst)
state<=s0;
else
casex(state)
s0:if(x==1) state<=s1;
s1:if(x==0)
state<=s0;
else state<=s2;
s2:if(x==1)
state<=s3;
else state<=s0;
s3:if(x==1)
state<=s3;
else state<=s0;
default:
state<=s0;
endcase
end
endmodule
9.第四、七章的例题。

相关文档
最新文档