集成电路试题库

集成电路试题库
集成电路试题库

半导体集成电路典型试题

绪论

1、什么叫半导体集成电路?

【答案:】

通过一系列的加工工艺,将晶体管,二极管等有源器件和电阻,电容等无源元件,按一定电路互连。

集成在一块半导体基片上。封装在一个外壳内,执行特定的电路或系统功能。

2、按照半导体集成电路的集成度来分,分为哪些类型,请同时写出它们对应的英文缩写

【答案:】

小规模集成电路(SSI),中规模集成电路(MSI),大规模集成电路(VSI),超大规模集成电路(VLSI),特大规模集成电路(ULSI),巨大规模集成电路(GSI)

3、按照器件类型分,半导体集成电路分为哪几类?

【答案:】

双极型(BJT)集成电路,单极型(MOS)集成电路,Bi-CMOS型集成电路。

4、按电路功能或信号类型分,半导体集成电路分为哪几类?

【答案:】

数字集成电路,模拟集成电路,数模混合集成电路。

5、什么是特征尺寸?它对集成电路工艺有何影响?

【答案:】

集成电路中半导体器件的最小尺寸如MOSFET的最小沟道长度。是衡量集成电路加工和设计水平的重要标志。它的减小使得芯片集成度的直接提高。

6、名词解释:集成度、wafer size、die size、摩尔定律?

【答案:】

7、分析下面的电路,指出它完成的逻辑功能,说明它和一般动态组合逻辑电路的不同,分析它的工作原理。

【答案:】

该电路可以完成NAND逻辑。与一般动态组合逻辑电路相比,它增加了一个MOS管M kp,它可以解决一般动态组合逻辑电路存在的电荷分配的问题。对于一般的动态组合逻辑电路,在评估阶段,A=“H” B=“L”, 电荷被OUT处和A处的电荷分配,整体的阈值下降,可能导致OUT的输出错误。

该电路增加了一个MOS管M kp,在预充电阶段,M kp导通,对C点充电到V dd。在评估阶段,M kp

截至,不影响电路的正常输出。

8、延迟时间

【答案:】

时钟沿与输出端之间的延迟

第1章集成电路的基本制造工艺

1、四层三结的结构的双极型晶体管中隐埋层的作用

【答案:】

减小集电极串联电阻,减小寄生PNP管的影响

2、在制作晶体管的时候,衬底材料电阻率的选取对器件有何影响

【答案:】

电阻率过大将增大集电极串联电阻,扩大饱和压降,若过小耐压低,结电容增大,且外延时下推大

3、简单叙述一下pn结隔离的NPN晶体管的光刻步骤

【答案:】

第一次光刻:N+隐埋层扩散孔光刻

第二次光刻:P隔离扩散孔光刻

第三次光刻:P型基区扩散孔光刻

第四次光刻:N+发射区扩散孔光刻

第五次光刻:引线孔光刻

第六次光刻:反刻铝

4、简述硅栅p阱CMOS的光刻步骤

【答案:】

P阱光刻,光刻有源区,光刻多晶硅,P+区光刻,N+区光刻,光刻接触孔,光刻铝线

5、以p阱CMOS工艺为基础的BiCMOS的有哪些不足

【答案:】

NPN晶体管电流增益小,集电极串联电阻大,NPN管的C极只能接固定电位

6、以N阱CMOS工艺为基础的BiCMOS的有哪些优缺点?并请提出改进方法

【答案:】

首先NPN具有较薄的基区,提高了其性能:N阱使得NPN管C极与衬底断开,可根据电路需要接任意电位。缺点:集电极串联电阻还是太大,影响其双极器件的驱动能力。改进方法在N阱里加隐埋层,使NPN管的集电极电阻减小。提高器件的抗闩锁效应。

7、请画出NPN晶体管的版图,并且标注各层掺杂区域类型

【答案:】

《半导体集成电路》期末考试试题库..

第一部分考试试题 第0章绪论 1.什么叫半导体集成电路? 2.按照半导体集成电路的集成度来分,分为哪些类型,请同时写出它们对应的英文缩写? 3.按照器件类型分,半导体集成电路分为哪几类? 4.按电路功能或信号类型分,半导体集成电路分为哪几类? 5.什么是特征尺寸?它对集成电路工艺有何影响? 6.名词解释:集成度、wafer size、die size、摩尔定律? 第1章集成电路的基本制造工艺 1.四层三结的结构的双极型晶体管中隐埋层的作用? 2.在制作晶体管的时候,衬底材料电阻率的选取对器件有何影响?。 3.简单叙述一下pn结隔离的NPN晶体管的光刻步骤? 4.简述硅栅p阱CMOS的光刻步骤? 5.以p阱CMOS工艺为基础的BiCMOS的有哪些不足? 6.以N阱CMOS工艺为基础的BiCMOS的有哪些优缺点?并请提出改进方法。 7. 请画出NPN晶体管的版图,并且标注各层掺杂区域类型。 8.请画出CMOS反相器的版图,并标注各层掺杂类型和输入输出端子。 第2章集成电路中的晶体管及其寄生效应 1.简述集成双极晶体管的有源寄生效应在其各工作区能否忽略?。 2.什么是集成双极晶体管的无源寄生效应? 3. 什么是MOS晶体管的有源寄生效应?

4. 什么是MOS晶体管的闩锁效应,其对晶体管有什么影响? 5. 消除“Latch-up”效应的方法? 6.如何解决MOS器件的场区寄生MOSFET效应? 7. 如何解决MOS器件中的寄生双极晶体管效应? 第3章集成电路中的无源元件 1.双极性集成电路中最常用的电阻器和MOS集成电路中常用的电阻都有哪些? 2.集成电路中常用的电容有哪些。 3. 为什么基区薄层电阻需要修正。 4. 为什么新的工艺中要用铜布线取代铝布线。 5. 运用基区扩散电阻,设计一个方块电阻200欧,阻值为1K的电阻,已知耗散功率为20W/c㎡,该电阻上的压降为5V,设计此电阻。 第4章TTL电路1.名词解释 电压传输特性开门/关门电平逻辑摆幅过渡区宽度输入短路电流输入漏电流 静态功耗瞬态延迟时间瞬态存储时间瞬态上升时间瞬态下降时间瞬时导通时间 2. 分析四管标准TTL与非门(稳态时)各管的工作状态? 3. 在四管标准与非门中,那个管子会对瞬态特性影响最大,并分析原因以及带来那些困难。 4. 两管与非门有哪些缺点,四管及五管与非门的结构相对于两管与非门在那些地方做了改善,并分析改善部分是如何工作的。四管和五管与非门对静态和动态有那些方面的改进。

集成电路设计基础_期末考试题

集成电路设计基础 2010-11年第一学期试题 一、填空题(20分) 1、目前,国内已引进了12英寸0.09um 芯片生产线,由此工艺线生产出来的集成 电路特征尺寸是0.009um (大 小),指的是右图中的W (字 母)。 2、CMOS工艺可分为p阱、n阱、双阱 三种。 在CMOS工艺中,N阱里形成的晶体管是p (PMOS,NMOS)。 3、通常情况下,在IC中各晶体管之间是由场氧来隔离的;该区域的形成用到的制造工艺是氧化工艺。 4.集成电路制造过程中,把掩膜上的图形转换成晶圆上器件结构一道工序是指光 刻,包括晶圆涂光刻胶、曝光、显影、烘干四个步骤; 其中曝光方式包括①接触式、②非接触式两种。 5、阈值电压V T是指将栅极下面的si表面从P型Si变成N型Si所必要的电压,根据阈值电压的不同,常把MOS区间分成耗尽型、增强型两种。降低V T 的措施包括:降低杂质浓度、增大Cox 两种。 二、名词解释(每词4分,共20分) ①多项目晶圆(MPW) ②摩尔定律 ③掩膜 ④光刻

⑤外延 三、说明(每题5分共10分) ①说明版图与电路图的关系。 ②说明设计规则与工艺制造的关系。 四、简答与分析题(10分) 1、数字集成电路设计划分为三个综合阶段,高级综合,逻辑综合,物理综合;解释这 三个综合阶段的任务是什么? 2、分析MOSFET尺寸能够缩小的原因。 五、综合题(共4小题,40分) 1、在版图的几何设计规则中,主要包括各层的最小宽度、层与层之间的最小间距、各 层之间的最小交叠。把下图中描述的与多晶硅层描述的有关规则进行分类: (2)属于层与层之间的最小间距的是: (3)属于各层之间的最小交叠是: 2.请提取出下图所代表的电路原理图。画出用MOSFET构成的电路。

模拟集成电路设计期末试卷

《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_ 较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来 表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输 出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制 沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容C in为__ C F(1-A)__。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,V GS=V TH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当V GS

集成电路试题库

半导体集成电路典型试题 绪论 1、什么叫半导体集成电路? 【答案:】 通过一系列的加工工艺,将晶体管,二极管等有源器件和电阻,电容等无源元件,按一定电路互连。 集成在一块半导体基片上。封装在一个外壳内,执行特定的电路或系统功能。 2、按照半导体集成电路的集成度来分,分为哪些类型,请同时写出它们对应的英文缩写 【答案:】 小规模集成电路(SSI),中规模集成电路(MSI),大规模集成电路(VSI),超大规模集成电路(VLSI),特大规模集成电路(ULSI),巨大规模集成电路(GSI) 3、按照器件类型分,半导体集成电路分为哪几类? 【答案:】 双极型(BJT)集成电路,单极型(MOS)集成电路,Bi-CMOS型集成电路。 4、按电路功能或信号类型分,半导体集成电路分为哪几类? 【答案:】 数字集成电路,模拟集成电路,数模混合集成电路。 5、什么是特征尺寸?它对集成电路工艺有何影响? 【答案:】 集成电路中半导体器件的最小尺寸如MOSFET的最小沟道长度。是衡量集成电路加工和设计水平的重要标志。它的减小使得芯片集成度的直接提高。 6、名词解释:集成度、wafer size、die size、摩尔定律? 【答案:】 7、分析下面的电路,指出它完成的逻辑功能,说明它和一般动态组合逻辑电路的不同,分析它的工作原理。 【答案:】

该电路可以完成NAND逻辑。与一般动态组合逻辑电路相比,它增加了一个MOS管M kp,它可以解决一般动态组合逻辑电路存在的电荷分配的问题。对于一般的动态组合逻辑电路,在评估阶段,A=“H” B=“L”, 电荷被OUT处和A处的电荷分配,整体的阈值下降,可能导致OUT的输出错误。 该电路增加了一个MOS管M kp,在预充电阶段,M kp导通,对C点充电到V dd。在评估阶段,M kp 截至,不影响电路的正常输出。 8、延迟时间 【答案:】 时钟沿与输出端之间的延迟 第1章集成电路的基本制造工艺 1、四层三结的结构的双极型晶体管中隐埋层的作用 【答案:】 减小集电极串联电阻,减小寄生PNP管的影响 2、在制作晶体管的时候,衬底材料电阻率的选取对器件有何影响 【答案:】 电阻率过大将增大集电极串联电阻,扩大饱和压降,若过小耐压低,结电容增大,且外延时下推大 3、简单叙述一下pn结隔离的NPN晶体管的光刻步骤 【答案:】 第一次光刻:N+隐埋层扩散孔光刻 第二次光刻:P隔离扩散孔光刻 第三次光刻:P型基区扩散孔光刻 第四次光刻:N+发射区扩散孔光刻 第五次光刻:引线孔光刻

专升本CMOS模拟集成电路分析与设计试卷答案

专升本CMOS模拟集成电路分析与设计试卷答案

专升本《CMOS模拟集成电路分析与设计》 一、(共75题,共150分) 1. Gordon Moore在1965年预言:每个芯片上晶体管的数目将每()个月翻一番(2分) A.12 B.18 C.20 D.24 .标准答案:B 2. MOS 管的小信号输出电阻是由MOS管的()效应产生的。(2分) A.体 B.衬偏 C.沟长调制 D.亚阈值导通 .标准答案:C 3. 在CMOS模拟集成电路设计中,我们一般让MOS管工作在()区。(2分) A.亚阈值区 B.深三极管区 C.三极管区 D.饱和区 .标准答案:D 4. MOS管一旦出现()现象,此时的MOS管将进入饱和区。(2分) A.夹断 B.反型 C.导电 D.耗尽 .标准答案:A 5. ()表征了MOS器件的灵敏度。(2分) A. B. C. D. .标准答案:C 6. Cascode放大器中两个相同的NMOS管具有不相同的()。(2分) A. B. C. D. .标准答案:B 7. 基本差分对电路中对共模增益影响最显著的因素是()。(2分) A.尾电流源的小信号输出阻抗为有限值 B.负载不匹配 C.输入MOS不匹配 D.电路制造中的误差 .标准答案:C 8. 下列电路不能能使用半边电路法计算差模增益()。(2分) A.二极管负载差分放大器 B.电流源负载差分放大器 C.有源电流镜差分放大器 D.Cascode负载Casocde差分放大器 .标准答案:C 9. 镜像电流源一般要求相同的()。(2分) A.制造工艺 B.器件宽长比 C.器件宽度W D.器件长度L .标准答案:D 10. 某一恒流源电流镜如图所示。忽略M3的体效应。要使和严格相等,应 取为()。(2分) A. B. C. D. .标准答案:A 11. 选择题:下列结构中密勒效应最大的是()。(2分) A.共源级放大器 B.源级跟随器 C.共栅级放大器 D.共源共栅级放大器 .标准答案:A

《超大规模集成电路设计》考试习题(含答案)完整版分析

1.集成电路的发展过程经历了哪些发展阶段?划分集成电路的标准是什么? 集成电路的发展过程: ?小规模集成电路(Small Scale IC,SSI) ?中规模集成电路(Medium Scale IC,MSI) ?大规模集成电路(Large Scale IC,LSI) ?超大规模集成电路(Very Large Scale IC,VLSI) ?特大规模集成电路(Ultra Large Scale IC,ULSI) ?巨大规模集成电路(Gigantic Scale IC,GSI) 划分集成电路规模的标准 2.超大规模集成电路有哪些优点? 1. 降低生产成本 VLSI减少了体积和重量等,可靠性成万倍提高,功耗成万倍减少. 2.提高工作速度 VLSI内部连线很短,缩短了延迟时间.加工的技术越来越精细.电路工作速度的提高,主要是依靠减少尺寸获得. 3. 降低功耗 芯片内部电路尺寸小,连线短,分布电容小,驱动电路所需的功率下降. 4. 简化逻辑电路 芯片内部电路受干扰小,电路可简化. 5.优越的可靠性 采用VLSI后,元件数目和外部的接触点都大为减少,可靠性得到很大提高。 6.体积小重量轻 7.缩短电子产品的设计和组装周期 一片VLSI组件可以代替大量的元器件,组装工作极大的节省,生产线被压缩,加快了生产速度. 3.简述双阱CMOS工艺制作CMOS反相器的工艺流程过程。 1、形成N阱 2、形成P阱 3、推阱 4、形成场隔离区 5、形成多晶硅栅 6、形成硅化物 7、形成N管源漏区 8、形成P管源漏区 9、形成接触孔10、形成第一层金属11、形成第一层金属12、形成穿通接触孔13、形成第二层金属14、合金15、形成钝化层16、测试、封装,完成集成电路的制造工艺 4.在VLSI设计中,对互连线的要求和可能的互连线材料是什么? 互连线的要求 低电阻值:产生的电压降最小;信号传输延时最小(RC时间常数最小化) 与器件之间的接触电阻低 长期可靠工作 可能的互连线材料 金属(低电阻率),多晶硅(中等电阻率),高掺杂区的硅(注入或扩散)(中等电阻率)

(完整版)集成电路工艺原理期末试题

电子科技大学成都学院二零一零至二零一一学年第二学期 集成电路工艺原理课程考试题A卷(120分钟)一张A4纸开卷教师:邓小川 一二三四五六七八九十总分评卷教师 1、名词解释:(7分) 答:Moore law:芯片上所集成的晶体管的数目,每隔18个月翻一番。 特征尺寸:集成电路中半导体器件能够加工的最小尺寸。 Fabless:IC 设计公司,只设计不生产。 SOI:绝缘体上硅。 RTA:快速热退火。 微电子:微型电子电路。 IDM:集成器件制造商。 Chipless:既不生产也不设计芯片,设计IP内核,授权给半导体公司使用。 LOCOS:局部氧化工艺。 STI:浅槽隔离工艺。 2、现在国际上批量生产IC所用的最小线宽大致是多少,是何家企业生产?请 举出三个以上在这种工艺中所采用的新技术(与亚微米工艺相比)?(7分) 答:国际上批量生产IC所用的最小线宽是Intel公司的32nm。 在这种工艺中所采用的新技术有:铜互联;Low-K材料;金属栅;High-K材料;应变硅技术。 3、集成电路制造工艺中,主要有哪两种隔离工艺?目前的主流深亚微米隔离工 艺是哪种器件隔离工艺,为什么?(7分) 答:集成电路制造工艺中,主要有局部氧化工艺-LOCOS;浅槽隔离技术-STI两种隔离工艺。 主流深亚微米隔离工艺是:STI。STI与LOCOS工艺相比,具有以下优点:更有效的器件隔离;显著减小器件表面积;超强的闩锁保护能力;对沟道无 侵蚀;与CMP兼容。 4、在集成电路制造工艺中,轻掺杂漏(LDD)注入工艺是如何减少结和沟道区间的电场,从而防止热载流子的产生?(7分) 答:如果没有LDD形成,在晶体管正常工作时会在结和沟道区之间形成高

2017年数字IC类笔试面试试题

2017年数字IC类笔试面试试题 威盛logic design engineer考题 1。一个二路选 择器,构成一个4路选择器,满足真值表要求、 2。已知A,B,C三个信号的波形,构造一个逻辑结构,使得从AB可以得到C,并且说明如何避免毛刺 3。一段英文对信号波形的描述,理解后画出波形,并采用verilog 实现。 4。169.6875转化成2进制和16进制 5。阐述中断的概念,有多少种中断,为什么要有中断,举例 6。这道比较搞,iq题,5名车手开5种颜色的车跑出了5个耗油量(milespergallon),然后就说什么颜色的车比什么车手的耗油量多什么的,判断人,车,好油量的排序ft致死,看了一堆FSM和数字电路没啥用,结果基本的冬冬把自己搞死了。 不过mixedsignal里的数字部分到是很全的考察了数字的冬冬(转)几道威盛电子的FPGA工程师试题 7、解释setup和hold time violation,画图说明,并说明解决办法. 17、给出某个一般时序电路的图,有Tsetup,Tdelay,Tck->q,还有clock 的delay,写出决定最大时钟的因素,同时给出表达式. 18、说说静态、动态时序模拟的优缺点. 19、一个四级的Mux,其中第二级信号为关键信号如何改善timing 22、卡诺图写出逻辑表达使. 23、化简F(A,B,C,D)=m(1,3,4,5,10,11,12,13,14,15)的和 28Please draw the transistor level schematic of a cmos2input AND gate andexplain which input has faster response for output rising edge.(less del aytime). 30、画出CMOS的图,画出tow-to-one mux gate. 45、用逻辑们画出D触发器46、画出DFF的结构图,用verilog实现之. 68、一个状态机的题目用verilog实现73、画出可以检测10010串的状态图,并verilog实现之. 80、 Please draw schematic of a common SRAM cell with6transistors,point o utwhich nodes can store data and which node is word line control?(威盛笔试circuit design)(转) VIA数字IC笔试试题 1。解释setup和hold time violation,画图说明,并说明解决办法。

集成电路设计练习题

集成电路设计练习题2009 1、说明一个半导体集成电路成本的组成。 2、简述CMOS工艺流程。简述CMOS集成电路制造的过程中需要重复进行的工艺步骤。 3、描述你对集成电路工艺的认识。列举几种集成电路典型工艺。工艺上常提到0.25,0.18指的是什么?简述CMOS工艺技术的发展趋势。 4、你知道的集成电路设计的表达方式有哪几种? 5、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:y=lnx 其中,x为4位二进制整数输入信号。y为二进制小数输出,要求保留两位小数。电源电压为3~5v 假设公司接到该项目后,交由你来负责该产品的设计,试讨论该产品的设计全程。 6、请谈谈对一个系统设计的总体思路。针对这个思路,你觉得应该具备哪些方面的知识? 7、描述你对集成电路设计流程的认识。 8、集成电路前端设计流程,后端设计流程,相关的工具。 9、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool. 10、简述FPGA等可编程逻辑器件设计流程。 11、简述半定制数字电路的设计流程。 12、简要说明并比较数字集成电路几种不同的实现方法。 13、什么是集成电路的设计规则。 14、同步电路和异步电路的区别是什么? 15、画出CMOS电路的晶体管级电路图,实现Y=AB+C(D+E) 16、在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P管还是N 管,为什么? 17、硅栅COMS工艺中N阱中做的是P管还是N管,N阱的阱电位的连接有什么要求? 18、名词解释:VLSI, CMOS, EDA, VHDL, DRC, LVS, DFT, STA 19、画出CMOS与非门的电路,并画出波形图简述其功能。

专用集成电路

实验一 EDA软件实验 一、实验目的: 1、掌握Xilinx ISE 9.2的VHDL输入方法、原理图文件输入和元件库的调用方法。 2、掌握Xilinx ISE 9.2软件元件的生成方法和调用方法、编译、功能仿真和时序仿真。 3、掌握Xilinx ISE 9.2原理图设计、管脚分配、综合与实现、数据流下载方法。 二、实验器材: 计算机、Quartus II软件或xilinx ISE 三、实验内容: 1、本实验以三线八线译码器(LS74138)为例,在Xilinx ISE 9.2软件平台上完成设计电 路的VHDL文本输入、语法检查、编译、仿真、管脚分配和编程下载等操作。下载芯片选择Xilinx公司的CoolRunner II系列XC2C256-7PQ208作为目标仿真芯片。 2、用1中所设计的的三线八线译码器(LS74138)生成一个LS74138元件,在Xilinx ISE 9.2软件原理图设计平台上完成LS74138元件的调用,用原理图的方法设计三线八线译 码器(LS74138),实现编译,仿真,管脚分配和编程下载等操作。 四、实验步骤: 1、三线八线译码器(LS 74138)VHDL电路设计 (1)三线八线译码器(LS74138)的VHDL源程序的输入 打开Xilinx ISE 6.2编程环境软件Project Navigator,执行“file”菜单中的【New Project】命令,为三线八线译码器(LS74138)建立设计项目。项目名称【Project Name】为“Shiyan”,工程建立路径为“C:\Xilinx\bin\Shiyan1”,其中“顶层模块类型(Top-Level Module Type)”为硬件描述语言(HDL),如图1所示。 图1 点击【下一步】,弹出【Select the Device and Design Flow for the Project】对话框,在该对话框内进行硬件芯片选择与工程设计工具配置过程。

模拟电子技术基础试题汇总附有答案.

模拟电子技术基础试题汇总 1.选择题 1.当温度升高时,二极管反向饱和电流将 ( A )。 A 增大 B 减小 C 不变 D 等于零 2. 某三极管各电极对地电位如图所示,由此可判断该三极管( D ) A. 处于放大区域 B. 处于饱和区域 C. 处于截止区域 D. 已损坏 3. 某放大电路图所示.设V CC>>V BE, L CEO≈0,则在静态时该三极管 处于( B ) A.放大区 B.饱和区 C.截止区 D.区域不定 4. 半导体二极管的重要特性之一是( B )。 ( A)温度稳定性 ( B)单向导电性 ( C)放大作用 ( D)滤波特性 5. 在由NPN型BJT组成的单管共发射极放大电路中,如静态工 作点过高,容易产生

( B )失真。 ( A)截止失真( B)饱和v失真( C)双向失真( D)线性失真 6.电路如图所示,二极管导通电压U D=0.7V,关于输出电压的说法正确的是( B )。 A:u I1=3V,u I2=0.3V时输出电压为3.7V。 B:u I1=3V,u I2=0.3V时输出电压为1V。 C:u I1=3V,u I2=3V时输出电压为5V。 D:只有当u I1=0.3V,u I2=0.3V时输出电压为才为1V。 7.图中所示为某基本共射放大电路的输出特性曲线,静态工作点由Q2点移动到Q3点可 能的原因是 。 A:集电极电源+V CC电压变高B:集电极负载电阻R C变高 C:基极电源+V BB电压变高D:基极回路电阻 R b变高。

8. 直流负反馈是指( C ) A. 存在于RC耦合电路中的负反馈 B. 放大直流信号时才有的负反馈 C. 直流通路中的负反馈 D. 只存在于直接耦合电路中的负反馈 9. 负反馈所能抑制的干扰和噪声是( B ) A 输入信号所包含的干扰和噪声 B. 反馈环内的干扰和噪声 C. 反馈环外的干扰和噪声 D. 输出信号中的干扰和噪声 10. 在图所示电路中,A为理想运放,则电路的输出电压约为( A ) A. -2.5V B. -5V C. -6.5V D. -7.5V 11. 在图所示的单端输出差放电路中,若输入电压△υS1=80mV, △υS2=60mV,则差模输 入电压△υid为( B ) A. 10mV B. 20mV C. 70mV D. 140mV 12. 为了使高内阻信号源与低阻负载能很好地配合,可以在信 号源与低阻负载间接入 ( C )。 A. 共射电路 B. 共基电路

集成电路CMOS题库

一、选择题 1、Gordon Moore 在1965年预言:每个芯片上晶体管得数目将每个月翻一番。 (B ) A 、12 B 、18 C 、20 D 、24 2.MOS 管得小信号输出电阻就是由MOS 管得效应产生得。 (C ) A.体 B 、衬偏 C 、沟长调制 D 、亚阈值导通 3.在CMOS 模拟集成电路设计中,我们一般让MOS 管工作在区。 (D ) A 、亚阈值区 B 、深三极管区 C 、三极管区 D 、饱与区 4、MOS 管一旦出现现象,此时得MOS 管将进入饱与区。 (A ) A 、夹断 B 、反型 C 、导电 D 、耗尽 5、表征了MOS 器件得灵敏度。 (C ) A 、o r B 、b m g C 、m g D 、ox n c u 6.Cascode 放大器中两个相同得NMOS 管具有不相同得。 (B ) A 、o r B 、b m g C 、m g D 、ox n c u 7.基本差分对电路中对共模增益影响最显著得因素就是。 (C ) A 、尾电流源得小信号输出阻抗为有限值 B 、负载不匹配 C 、输入MOS 不匹配 D 、电路制造中得误差 8.下列电路不能能使用半边电路法计算差模增益。 ( C ) A.二极管负载差分放大器 B 、电流源负载差分放大器 C 、有源电流镜差分放大器 D 、Cascode 负载Casocde 差分放大器 9、镜像电流源一般要求相同得。 ( D )A 、制造工艺 B 、器件宽长比 C 、器件宽度W D 、器件长度L 10、 NMOS 管得导电沟道中依靠导电。 ( ) A.电子 B 、空穴 C 、正电荷 D 、负电荷 11、下列结构中密勒效应最大得就是。 (A ) A 、共源级放大器 B 、源级跟随器 C 、共栅级放大器 D 、共源共栅级放大器 12.在NMOS 中,若0V sb 会使阈值电。 (A )

模拟集成电路分析与设计复习题

1. MOSFET 跨导g m 是如何定义的。在不考虑沟道长度调制时,写出MOSFET 在饱和区的g m 与 V GS ?V TH 、√D 和1V GS ?V TH 的关系表示式。画出它们各自的变化曲线。 2. MOSFET 的跨导g m 是如何定义的。在考虑沟道长度调制时,写出MOSFET 在饱和区的g m 与 V GS ?V TH 、√D 和1 V GS ?V TH 的关系表示式。画出它们各自的变化曲线。 3. 画出考虑体效应和沟道长度调制效应后的MOSFET 小信号等效电路。写出r o 和g mb 的定 义,并由此定义推出r o 和g mb 表示式。 4. 画出由NMOS 和PMOS 二极管作负载的MOSFET 共源级电路图。对其中NMOS 二极管负载共 源级电路,推出忽略沟道长度调制效应后的增益表示式,分析说明器件尺寸和偏置电流对增益的影响。对PMOS 二极管负载的共源级电路,对其增益表示式作出与上同样的分析。 5. 画出MOS 共源共栅级电路的电路图和其对应的小信号等效电路图。并推出此共源共栅 级电路的电压增益和输出电阻表示式。 6. 画出带源极负反馈电阻的以电阻作负载的MOS 共源级电路的电路图和其对应的小信号 等效电路图。写出此电路的等效跨导定义式,并由此推出在不考虑沟道长度调制和体效应情况下的小信号电压增益表示式。画出其漏电流和跨导随V in 的变化曲线图。 7. 画出带源极负反馈电阻的以电阻作负载的MOS 共源级电路的电路图和其对应的小信号 等效电路图。写出此电路的等效跨导定义式,并由此推出考虑沟道长度调制和体效应情 况下的小信号电压增益表示式。画出其漏电流和跨导随V in 的变化曲线图。 8. 画出以二极管连接的MOS 为负载的差动对和以电流源为负载的差动对的电路图。并求 出这两种电路的小信号增益。 9.下图给出一个电阻负载共源级放大器的高频模型。画出其小信号等效电路。并由此等效 电路推出其传输函数。就此传输函数,简要说明电路的零极点分布情况。 10.对如下图所示的共源级电路,画出其含有噪声的电路模型。并根据此模型图写出其输出 噪声电压V n ,out 2?????????和输入噪声电压V n ,in 2????????。 11.下图是一个电路系统的环路增益波特图,由图分析此系统的极点和零点情况。指出系统的稳定性,写出系统的开环和闭环传输函数,并由此求出闭环系统的极点公式来。

专用集成电路AD的设计

A/D转换器的设计 一.实验目的: (1)设计一个简单的LDO稳压电路 (2)掌握Cadence ic平台下进行ASIC设计的步骤; (3)了解专用集成电路及其发展,掌握其设计流程; 二.A/D转换器的原理: A/D转换器是用来通过一定的电路将模拟量转变为数字量。 模拟量可以是电压、电流等电信号,也可以是压力、温度、湿度、位移、声音等非电信号。但在A/D转换前,输入到A/D转换器的输入信号必须经各种传感器把各种物理量转换成电压信号。符号框图如下: 数字输出量 常用的几种A/D器为; (1):逐次比较型 逐次比较型AD由一个比较器和DA转换器通过逐次比较逻辑构成,从MSB 开始,顺序地对每一位将输入电压与内置DA转换器输出进行比较,经n次比较而输出数字值。其电路规模属于中等。其优点是速度较高、功耗低,在低分辩率(<12位)时价格便宜,但高精度(>12位)时价格很高。 (2): 积分型 积分型AD工作原理是将输入电压转换成时间(脉冲宽度信号)或频率(脉冲频率),然后由定时器/计数器获得数字值。其优点是用简单电路就能获得高分辨率,但缺点是由于转换精度依赖于积分时间,因此转换速率极低。初期的单片AD转换器大多采用积分型,现在逐次比较型已逐步成为主流。 (3):并行比较型/串并行比较型

并行比较型AD采用多个比较器,仅作一次比较而实行转换,又称FLash(快速)型。由于转换速率极高,n位的转换需要2n-1个比较器,因此电路规模也极大,价格也高,只适用于视频AD转换器等速度特别高的领域。 串并行比较型AD结构上介于并行型和逐次比较型之间,最典型的是由2个n/2位的并行型AD转换器配合DA转换器组成,用两次比较实行转换,所以称为Half flash(半快速)型。还有分成三步或多步实现AD转换的叫做分级型AD,而从转换时序角度又可称为流水线型AD,现代的分级型AD中还加入了对多次转换结果作数字运算而修正特性等功能。这类AD速度比逐次比较型高,电路规模比并行型小。 一.A/D转换器的技术指标: (1)分辨率,指数字量的变化,一个最小量时模拟信号的变化量,定义为满刻度与2^n的比值。分辨率又称精度,通常以数字信号的位数来表示。 (2)转换速率,是指完成一次从模拟转换到数字的AD转换所需的时间的倒数。积分型AD的转换时间是毫秒级属低速AD,逐次比较型AD是微秒级,属中速AD,全并行/串并行型AD可达到纳秒级。采样时间则是另外一个概念,是指两次转换的间隔。为了保证转换的正确完成,采样速率必须小于或等于转换速率。因此有人习惯上将转换速率在数值上等同于采样速率也是可以接受的。常用单位ksps 和Msps,表示每秒采样千/百万次。 (3)量化误差,由于AD的有限分辩率而引起的误差,即有限分辩率AD的阶梯状转移特性曲线与无限分辩率AD(理想AD)的转移特性曲线(直线)之间的最大偏差。通常是1 个或半个最小数字量的模拟变化量,表示为1LSB、1/2LSB。(4)偏移误差,输入信号为零时输出信号不为零的值,可外接电位器调至最小。(5)满刻度误差,满度输出时对应的输入信号与理想输入信号值之差。 (6)线性度,实际转换器的转移函数与理想直线的最大偏移,不包括以上三种误差。 三、实验步骤 此次实验的A/D转换器用的为逐次比较型,原理图如下:

《集成电路设计原理》试卷及答案课件

电科《集成电路原理》期末考试试卷 一、填空题 1.(1分) 年,第一次观测到了具有放大作用的晶体管。 2 . ( 2 分 ) 摩 尔 定 律 是 指 。 3. 集 成 电 路 按 工 作 原 理 来 分 可 分 为 、 、 。 4.(4分)光刻的工艺过程有底膜处理、涂胶、前烘、 、 、 、 和去胶。 5.(4分)MOSFET 可以分为 增强型NMOS ,耗尽型NMOS ,增强型PMOS ,耗尽型PMOS___四种基本类型。 6.(3分)影响MOSFET 阈值电压的因素有: 、 以及 。 7.(2分)在CMOS 反相器中,V in ,V out 分别作为PMOS 和NMOS 的 栅极, 和 漏极 ; VDD , 作为PMOS 的源极和体端, ,GND 作为NMOS 的源极和体端。 8.(2分)CMOS 逻辑电路的功耗可以分为 和 。 9.(3分)下图的传输门阵列中5DD V V =,各管的阈值电压1T V V =,电路中各节点的初始电压为0,如果不考虑衬偏效应,则各输出节点的输出电压Y 1= 4 V ,Y 2= 3 V ,Y 3= 3 V 。 DD 1 3 2 10.(6分)写出下列电路输出信号的逻辑表达式:Y 1= ;Y 2= ;Y 3= 。 A B Y 1 A B 2 3 二、画图题:(共12分)

1.(6分)画出由静态CMOS电路实现逻辑关系Y ABD CD =+的电路图,要求使用的MOS管最少。 2.(6分)用动态电路级联实现逻辑功能Y ABC =,画出其相应的电路图。 三、简答题:(每小题5分,共20分) 1.简单说明n阱CMOS的制作工艺流程,n阱的作用是什么? 2.场区氧化的作用是什么,采用LOCOS工艺有什么缺点,更好的隔离方法是什么? 3.简述静态CMOS电路的优点。

《模拟集成电路设计》复习

《模拟集成电路设计》复习 答疑安排: 第13周星期二(5月29日),上午9:00-11:30,下午14:30-17:00,工三310 考试题型: 七道大题:第2章一题,第3、4章各两题,第5章一题,第6、7章共一题 考试注意事项: 所有题目采用课本P32表2.1的数据,V DD=3V,C OX=3.84 10-7F/cm2,忽略漏/源横向扩散长度L D。试题会给出所需参数值。 时刻区分大信号、小信号。 时刻注意是否考虑二级效应。 题目有“推导”两字时,需给出求解过程。 必考:画小信号等效电路 复习题 例2.2补充问题:(1)分析MOS工作区间变化情况;(2)画出I D-V DS 曲线;(3)推导线性区跨导表达式。 习题2.2注意:跨导的单位。

习题2.3补充问题:给定参数值,计算本征增益的数值。注意:画曲线时需考虑λ与L的关系。 例3.5 补充问题:画出图3.21(b)电路的小信号等效电路,推导增益表达式。 习题3.2问题(b)删去。补充问题:求R out。 习题3.12解题思路:I1→V out→V GS2→(W/L)2→A v 习题3.14 输出摆幅=V DD-V OD1-|V OD2|。 解题思路:A v,R out→g m1→(W/L)1→V OD1→|V OD2|→(W/L)2 第4章课件第49页的题目差模增益-g m1(r o1||r o3),共模增益0,共模抑制比+∞ 例4.6 习题4.18 只要求图4.38(a)-(d)。补充问题:画出半边电路。注意:画半边电路时去掉电流源M5。 习题4.25 计算过驱动电压V OD时忽略沟道长度调制效应。注意双端输出摆幅为单端时的2倍。 习题5.1问题(e)删去。问题(c)和(d)有简单的计算方法。 习题5.5问题(b)(c)删去。λ=0。 例6.4补充问题:画出低频小信号等效电路,推导低频小信号增益;写出C D、C S分别包含哪些MOS电容。 习题6.9 只要求图6.39(a)(b)(c)。 例7.11只计算热输入参考噪声电压。 习题7.11补充问题:推导小信号增益。

集成电路设计方案习题答案章

集成电路设计方案习题答案章

CH1 1.按规模划分,集成电路的发展已经经历了哪几代?它的发展遵循了一条业界著名的定律,请说出是什么定律? 晶体管-分立元件-SSI-MSI-LSI-VLSI-ULSI-GSI-SOC。MOORE 定律 2.什么是无生产线集成电路设计?列出无生产线集成电路设计的特点和环境。 拥有设计人才和技术,但不拥有生产线。特点:电路设计,工艺制造,封装分立运行。环境:IC产业生产能力剩余,人们需要更多的功能芯片设计 3.多工程晶圆

的作用。P13 5.列出你知道的异质半导体材料系统。 GaAs/AlGaAs, InP/ InGaAs, Si/SiGe, 6.SOI材料是怎样形成的,有什么特点? SOI绝缘体上硅,能够经过氧隔离或者晶片粘结技术完成。特点:电极与衬底之间寄生电容大大减少,器件速度更快,功率更低 7. 肖特基接触和欧姆型接触各有什么特点? 肖特基接触:阻挡层具有类似PN结的伏安特性。欧姆型接触:载流子能够容易地利用量子遂穿效应相应自由传输。 8. 简述双极型晶体管和MOS晶体管的工作原理。P19,21 CH31.写出晶体外延的意义,列出三种外延生长方法,并比较各自的优缺点。 意义:用同质材料形成具有不同掺杂种类及浓度而具有不同性能的晶体层。外延方法:液态生长,气相外延生长,金属有机物气相外延生长 2.写出掩膜在IC制造过程中的作用,比较整版掩膜和单片掩膜的区别,列举三种掩膜的制造方法。P28,29 3.写出光刻的作用,光刻有哪两种曝光方式?作用:把掩膜上的图形转换成晶圆上的器件结构。曝光方式有接触与非接触两种。 4.X射线制版和直接电子束直写技术替代光刻技术有什么优缺点? X 射线

《模拟集成电路设计原理》期末考试

1 《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容Cin为__ CF(1-A) __。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 11、1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,VGS=VTH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当VGS

模拟集成电路设计的九个层次

[转贴] 模拟集成电路设计的九个层次来源: 一篇好文章, 摘录于此,以示激励. 一段 你刚开始进入这行,对PMOS/NMOS/BJT什么的只不过有个大概的了解,各种器件的特性你也不太清楚,具体设计成什么样的电路你也没什么主意,你的电路图主要看国内杂志上的文章,或者按照教科书上现成的电路,你总觉得他们说得都有道理。你做的电路主要是小规模的模块,做点差分运放,或者带隙基准的仿真什么的你就计算着发文章,生怕到时候论文凑不够。总的来说,基本上看见运放还是发怵。你觉得spice 是一个非常难以使用而且古怪的东西。 二段 你开始知道什么叫电路设计,天天捧着本教科书在草稿纸上狂算一气。你也经常开始提起一些技术参数,Vdsat、lamda、early voltage、GWB、ft之类的。总觉得有时候电路和手算得差不多,有时候又觉得差别挺大。你也开始关心电压,温度和工艺的变化。例如低电压、低功耗系统什么的。或者是超高速高精度的什么东东,时不时也来上两句。你设计电路时开始计划着要去tape out,虽然tape out看起来还是挺遥远的。这个阶段中,你觉得spice很强大,但经常会因为AC仿真结果不对而大伤脑筋。 三段 你已经和PVT斗争了一段时间了,但总的来说基本上还是没有几次成功的设计经验。你觉得要设计出真正能用的电路真的很难,你急着想建立自己的信心,可你不知道该怎么办。你开始阅读一些JSSC或者博士论文什么的,可你觉得他们说的是一回事,真正的芯片或者又不是那么回事。你觉得Vdsat什么的指标实在不够精确,仿真器的缺省设置也不够满足你的要求,于是你试着仿真器调整参数,或者试着换一换仿真器,但是可它们给出的结果仍然是有时准有时不准。你上论坛,希望得到高手的指导。可他们也是语焉不详,说得东西有时对有时不对。这个阶段中,你觉得spice虽然很好,但是帮助手册写的太不清楚了。 四段 你有过比较重大的流片失败经历了。你知道要做好一个电路,需要精益求精,需要战战兢兢的仔细检查每一个细节。你发现在设计过程中有很多不曾设想过的问题,想要做好电路需要完整的把握每一个方面。于是你开始系统地重新学习在大学毕业时已经卖掉的课本。你把能能找到的相关资料都仔细的看了一边,希望能从中找到一些更有启发性的想法。你已经清楚地知道了你需要达到的电路指标和性能,你也知道了电路设计本质上是需要做很多合理的折中。可你搞不清这个“合理”是怎么确定的,不同指标之间的折中如何选择才好。你觉得要设计出一个适当的能够正常工作的电路真的太难了,你不相信在这个世界上有人可以做到他们宣称的那么好,因为聪明如你都觉得面对如此纷杂的选择束手无策,他们怎么可能做得到?这个阶段中,你觉得spice功能还是太有限了,而且经常对着"time step too small"的出错信息发呆,偶尔情况下你还会创造出巨大的仿真文件让所有人和电脑崩溃。 五段 你觉得很多竞争对手的东西不过如此而已。你开始有一套比较熟悉的设计方法。但是你不知道如何更加优化你手头的工具。你已经使用过一些别人编好的脚本语言,但经常碰到很多问题的时候不能想起来用awk 或者perl搞定。你开始大量的占用服务器的仿真时间,你相信经过大量的仿真,你可以清楚地把你设计的模块调整到合适的样子。有时候你觉得做电路设计简直是太无聊了,实在不行的话,你在考虑是不是该放弃了。这个阶段中,你觉得spice好是好,但是比起fast spice系列的仿真器来,还是差远了;你开始不相信AC仿真,取而代之的是大量的transient仿真。 六段 你开始明白在这个世界中只有最合适的设计,没有最好的设计。你开始有一套真正属于自己的设计方法,你会倾向于某一种或两种仿真工具,并能够熟练的使用他们评价你的设计。你开始在设计中考虑PVT的变化,你知道一个电路从开始到现在的演化过程,并能够针对不同的应用对他们进行裁减。你开始关注功耗

相关文档
最新文档