计算机组成原理实验教案

计算机组成原理实验教案
计算机组成原理实验教案

韶关学院

《计算机组成原理》

实验教案

彭玄璋

计算机科学学院计算机系

第一章实验项目

一、寄存器实验

实验要求:利用COP2000实验仪上的K16..K23开关做为DBUS的数据,其它开关做为控制信号,将数据写入寄存器,这些寄存器包括累加器A,工作寄存器W,数据

寄存器组R0..R3,地址寄存器MAR,堆栈寄存器ST,输出寄存器OUT。

实验目的:了解模型机中各种寄存器结构、工作原理及其控制方法。

实验说明:

寄存器的作用是用于保存数据的,因为我们的模型机是8位的,因此在本模型机中大部寄存器是8位的,标志位寄存器(Cy, Z)是二位的。

COP2000用74HC574来构成寄存器。74HC574的功能如下:

1. 在CLK的上升沿将输入端的数据打入到8个触发器中

2. 当OC = 1 时触发器的输出被关闭,当OC=0时触发器的输出数据

OC CLK Q7..Q0 注释

1 X ZZZZZZZZ OC为1时触发器的输出被关闭

0 0 Q7..Q0 当OC=0时触发器的输出数据

0 1 Q7..Q0 当时钟为高时,触发器保持数据不变

X D7..D0 在CLK的上升沿将输入端的数据打入到触发器中

74HC574工作波形图

实验1:A,W寄存器实验

寄存器A原理图

寄存器W原理图

寄存器A,W写工作波形图

连接线表

连接信号孔接入孔作用有效电平

1 J1座J3座将K23-K16接入DBUS[7:0]

2 AEN K

3 选通A 低电平有效

3 WEN K

4 选通W 低电平有效

4 ALUCK CLOCK ALU工作脉冲上升沿打入将55H写入A寄存器

按住CLOCK脉冲键,CLOCK由高变低,这时寄存器A的黄色选择指示灯亮,表明选择A 寄存器。放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据55H被写入A寄存器。

将66H写入W寄存器

二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据66H

按住CLOCK脉冲键,CLOCK由高变低,这时寄存器W的黄色选择指示灯亮,表明选择W 寄存器。放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据66H被写入W寄存器。

注意观察:

1.数据是在放开CLOCK键后改变的,也就是CLOCK的上升沿数据被打入。

2.WEN,AEN为高时,即使CLOCK有上升沿,寄存器的数据也不会改变。

实验2:R0,R1,R2,R3寄存器实验

寄存器R原理图

寄存器R写工作波形图

将11H写入R0寄存器

二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据11H

置控制信号为:

按住CLOCK脉冲键,CLOCK由高变低,这时寄存器R0的黄色选择指示灯亮,表明选择R0寄存器。放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据11H被写入R0寄存器。

将22H写入R1寄存器

二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据22H

置控制信号为:

按住CLOCK脉冲键,CLOCK由高变低,这时寄存器R1的黄色选择指示灯亮,表明选择R1寄存器。放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据22H被写入R1寄存器。

将33H写入R2寄存器

K23 K22 K21 K20 K19 K18 K17 K16

0 0 1 1 0 0 1 1

K11(RRD) K10(RWR) K1(SB) K0(SA)

1 0 1 0

按住CLOCK脉冲键,CLOCK由高变低,这时寄存器R2的黄色选择指示灯亮,表明选择R2寄存器。放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据33H被写入R2寄存器。

将44H写入R3寄存器

二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据44H

K23 K22 K21 K20 K19 K18 K17 K16

0 1 0 0 0 1 0 0

K11(RRD) K10(RWR) K1(SB) K0(SA)

1 0 1 1

按住CLOCK脉冲键,CLOCK由高变低,这时寄存器R3的黄色选择指示灯亮,表明选择R3寄存器。放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据44H被写入R3寄存器。

注意观察:

1. 数据是在放开CLOCK键后改变的,也就是CLOCK的上升沿数据被打入。

K1(SB) K0(SA) 选择

0 0 R0

0 1 R1

1 0 R2

1 1 R3

寄存器R读工作波形图

读R0寄存器

这时寄存器R0的红色输出指示灯亮,R0寄存器的数据送上数据总线。此时液晶显示DBUS: 11 00010001. 将K11(RRD)置为1, 关闭R0寄存器输出.

读R1寄存器

DBUS: 22 00100010. 将K11(RRD)置为1, 关闭R1寄存器输出.

读R2寄存器

置控制信号为:

这时寄存器R2的红色输出指示灯亮,R2寄存器的数据送上数据总线。此时液晶显示DBUS: 33 00110011. 将K11(RRD)置为1, 关闭R2寄存器输出.

读R3寄存器

置控制信号为:

这时寄存器R3的红色输出指示灯亮,R3寄存器的数据送上数据总线。此时液晶显示DBUS: 44 01000100. 将K11(RRD)置为1, 关闭R3寄存器输出.

注意观察:

1. 数据在K11(RRD)为0时输出, 不是沿触发, 与数据打入不同。

实验3:MAR地址寄存器,ST堆栈寄存器,OUT输出寄存器

寄存器MAR原理图

寄存器ST原理图

寄存器OUT原理图

寄存器MAR,ST,OUT写工作波形图

连接线表

连接信号孔接入孔作用有效电平

1 J2座J3座将K23-K16接入DBUS[7:0]

2 MAROE K14 MAR地址输出使能低电平有效

3 MAREN K15 MAR寄存器写使能低电平有效

4 STEN K12 ST寄存器写使能低电平有效

5 OUTEN K13 OUT寄存器写使能低电平有效

6 CK CLOCK 寄存器工作脉冲上升沿打入

将12H写入MAR寄存器

K23 K22 K21 K20 K19 K18 K17 K16

0 0 0 1 0 0 1 0

置控制信号为:

按住CLOCK脉冲键,CLOCK由高变低,这时寄存器MAR的黄色选择指示灯亮,表明选择MAR寄存器。放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据12H被写入MAR寄存器。

K14(MAROE)为0, MAR寄存器中的地址输出. MAR红色输出指示灯亮.

将K14(MAROE)置为1. 关闭MAR输出.

将34H写入ST寄存器

二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据34H

按住CLOCK脉冲键,CLOCK由高变低,这时寄存器ST的黄色选择指示灯亮,表明选择ST寄存器。放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据34H被写入ST寄存器。

将56H写入OUT寄存器

按住CLOCK脉冲键,CLOCK由高变低,这时寄存器OUT的黄色选择指示灯亮,表明选择OUT寄存器。放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据56H被写入OUT寄存器。

二、运算器实验

实验要求:利用COP2000实验仪的K16..K23开关做为DBUS数据,其它开关做为控制信号,将数据写累加器A和工作寄存器W,并用开关控制ALU的运算方式,实现

运算器的功能。

实验目的:了解模型机中算术、逻辑运算单元的控制方法。

实验说明:

COP2000中的运算器由一片可编程芯片EPLD实现. 有8种运算, 通过S2,S1,S0来选择。运算数据由寄存器A及寄存器W给出, 运算结果输出到直通门D。有兴趣的同学可以参考第76页实现本ALU功能的ABLE语言。了解ALU的实现方法。

运算器结构:

运算器ALU 模块由XC9572 PLCC44 组成,XC9572 的管脚连接如图1 所示。ALU 模块在COP2000 出厂时已经设计了一种ALU(用ABEL 语言设计的)具体的结构如图2所示,数据输入端Din0-7 接74HC245。而74HC245 与J1 相连,做分部实验时将J1 与J3 相连,这样就可以用开关K16-23 输入0 1 数据,做模型机实验时将J1 与J2 相连即将Din 与数据线相连。S2 S1 S0 是8 种运算选择端,可以实现加、减、与、或、进位加、进位减、A 取反、A 输出运算,AEN 、WEN 分别是寄存器A、W 的选通控制端,Cy IN 、CN 分别是进位输入和进位输出。X0 、X1 用于控制输出O0-7 是直通、左移、右移。

图1 运算器的管脚定义

图2 用XC9572 设计的ALU 的结构框图

S2 S1 S0 功能

0 0 0 A+W 加

0 0 1 A-W 减

0 1 0 A|W 或

0 1 1 A&W 与

1 0 0 A+W+C 带进位加

1 0 1 A-W-C 带进位减

1 1 0 ~A A取反

1 1 1 A 输出A

连接信号孔接入孔作用有效电平

1 J1座J3座将K23-K16接入DBUS[7:0]

2 S0 K0 运算器功能选择

3 S1 K1 运算器功能选择

4 S2 K2 运算器功能选择

5 AEN K3 选通A 低电平有效

6 WEN K4 选通W 低电平有效

7 Cy IN K5 运算器进位输入

8 ALUCK CLOCK ALU工作脉冲上升沿打入

将55H写入A寄存器

二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据55H

按住CLOCK脉冲键,CLOCK由高变低,这时寄存器A的黄色选择指示灯亮,表明选择A 寄存器。放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据55H被写入A寄存器。

将33H写入W寄存器

二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据33H

按住CLOCK脉冲键,CLOCK由高变低,这时寄存器W的黄色选择指示灯亮,表明选择W 寄存器。放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据33H被写入W寄存器。

注意观察:

运算器在加上控制信号及数据(A,W)后, 立刻给出结果, 不须时钟.

三、数据输出实验/移位门实验

实验要求:利用COP2000实验仪的开关做为控制信号,将指定寄存器的内容读到数据总线DBUS上。

实验目的:1。了解模型机中多寄存器接数据总线的实现原理。2。了解运算器中移位功能的实现方法。

实验说明:

COP2000中有7个寄存器可以向数据总线输出数据, 但在某一特定时刻只能有一个寄存器输出数据. 由X2,X1,X0决定那一个寄存器输出数据.

数据输出选择器原理图

X2 X1 X0 输出寄存器

0 0 0 IN_OE 外部输入门

0 0 1 IA_OE 中断向量

0 1 0 ST_OE 堆栈寄存器

0 1 1 PC_OE PC寄存器

1 0 0 D_OE 直通门

1 0 1 R_OE 右移门

1 1 0 L_OE 左移门

1 1 1 没有输出

连接线表

连接信号孔接入孔作用有效电平

1 J1座J3座将K23-K16接入DBUS[7:0]

2 X0 K5 寄存器输出选择

3 X1 K6 寄存器输出选择

4 X2 K7 寄存器输出选择

5 AEN K3 选通A 低电平有效

6 CN K9 移位是否带进位0:不带进位1:带进位

7 Cy IN K8 移位进位输入

8 S2 K2 运算器功能选择

9

S1 K1 运算器功能选择

10 S0 K0 运算器功能选择

11 ALUCK CLOCK ALU工作脉冲上升沿打入实验1:数据输出实验

X2 X1 X0 指示灯(红色)液晶显示(数据总线值)

0 0 0 IN指示输入门(K23-K16)

0 0 1 IA指示中断向量(由拨动开关给出)

0 1 0 ST指示堆栈寄存器

0 1 1 PC指示PC寄存器

1 0 0 D直通门指示D直通门

1 0 1 R右移门指示R右移门

1 1 0 L左移门指示L左移门

1 1 1 没有输出

实验2:移位实验

ALU直接输出和零标志位产生原理图

ALU左移输出原理图

ALU右移输出原理图

直通门将运算器的结果不移位送总线。当X2X1X0=100时运算器结果通过直通门送到数据总线。同时,直通门上还有判0电路,当运算器的结果为全0时,Z=1

右移门将运算器的结果右移一位送总线。当X2X1X0=101时运算器结果通过右通门送到数据总线。具体连线是:

Cy 与CN → DBUS7

ALU7 → DBUS6

ALU6 → DBUS5

ALU5 → DBUS4

ALU4 → DBUS3

ALU3 → DBUS2

ALU2 → DBUS1

ALU1 → DBUS0

Cy 与CN → DBUS7

当不带进位移位时(CN=0):

0 → DBUS7

当带进位移位时(CN=1):

Cy → DBUS7

左移门将运算器的结果左移一位送总线。当X2X1X0=110时运算器结果通过左通门送到数据总线。具体连线是:

ALU6 → DBUS7

ALU5 → DBUS6

ALU4 → DBUS5

ALU3 → DBUS4

ALU2 → DBUS3

ALU1 → DBUS2

ALU0 → DBUS1

当不带进位移位时(CN=0):

0 → DBUS0

当带进位移位时(CN=1):

Cy → DBUS0

将55H写入A寄存器

二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据55H

按住CLOCK脉冲键,CLOCK由高变低,这时寄存器A的黄色选择指示灯亮,表明选择A 寄存器。放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据55H被写入A寄存器。

S2S1S0=111时运算器结果为寄存器A内容

注意观察:

移位与输出门是否打开无关,无论运算器结果如何,移位门都会给出移位结果。但究竟把那一个结果送数据总线由X2X1X0输出选择决定。

四、微程序计数器uPC实验

实验要求:利用COP2000实验仪上的K16..K23开关做为DBUS的数据,其它开关做为控制信号,实现微程序计数器uPC的写入和加1功能。

实验目的:1。了解模型机中微程序的基本概念。2。了解uPC的结构、工作原理及其控制方法。

实验说明:

74HC161是一片带预置的4位二进制记数器。功能如下:

当RST = 0时,记数器被清0

当IREN = 0时,在CK的上升沿,预置数据被打入记数器

当IREN = 1时,在CK的上升沿,记数器加一

TC为进位,当记数到F(1111)时,TC=1

CEP,CET为记数使能,当CEP,CET=1时,记数器工作,CEP,CET=0时,记数器保持原记数值

uPC原理图

uPC工作波形图

在COP2000中,指令IBUS[7:0]的高六位被接到uPC预置的高六位,uPC预置的低两位被置为0。一条指令最多可有四条微指令。

连接线表

实验1:uPC加一实验

按一次CLOCK脉冲键,CLOCK产生一个上升沿,数据uPC被加一。

实验2:uPC打入实验

二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据12H

置控制信号为:

当EMWR,EMEN=0时,数据总线(DBUS)上的数据被送到指令总线(IBUS)上。

按住CLOCK脉冲键,CLOCK由高变低,这时寄存器uPC的黄色预置指示灯亮,表明uPC 被预置。放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据10H被写入uPC寄存器。

计算机组成原理电子教案

《计算机组成原理》电子教案 课程名称:计算机组成原理 适用专业:计算机科学与技术网络工程课程总学时:80学时 编写时间: 2006年9月

本课程是计算机专业本科生的核心课程,是主干必修课。课程以阐述原理为主,讲述计算机系统及其各功能部件的工作原理以及逻辑实现,计算机系统及其各功能部件的设计原理以及并行处理技术。设置这一课程的目的是使学生掌握计算机的基本工作原理,掌握计算机各主要部件的硬件结构、相互联系和作用,掌握计算机系统的设计原理以及软硬件的界面,从而对整个计算机系统有完整的了解,为计算机专业的后继课程的学习打下基础。 一、本课程得主要内容 1、计算机系统概论 2、运算方法和运算器 3、存储器 4、计算机指令系统 5、控制器 6、总线系统 7、外围设备 8、输入、输出系统 二、本课程教学重点与难点 重点:信息编码和数据表示 控制器 存储系统 输入输出系统 三、教材选用 《计算机组成原理》白中英.科学出版社, 四、参考教材: 主要参考书: 1、李亚明.《计算机组成与系统结构》.清华大学出版社.2001

2、王爱英.《计算机组成与结构》.清华大学出版社.1998 3、江义鹏.《计算机组成原理》.人民邮电出版社.1998 4、胡越明.《计算机组成和系统结构》.上海科学技术文献出版社.1999 五、教学手段:多媒体课件+版书 六、课程内容和学时分配 (整体安排按信息表示、信息处理、信息输出思路。) 1、计算机系统概论 教学内容: 1、计算机系统的基本构成 2、计算机系统的层次结构 3、计算机系统结构、组成及其实现 4、计算机的性能评价 5、计算机发展简史 6、计算机的应用 基本要求: 通过本章的学习,要求了解整个计算机系统由硬件和软件两部分构成,其中硬件部分包括运算器、控制器、存储器、输入输出设备等五大功能部件构成。通过总线相互连成一个完整的硬件系统;软件部分包括系统软件、应用软件两大部分。通过对计算机层次结构的了解,明确计算机组成原理课程的任务和目的。了解计算机中的一些基本概念,包括性能指标、计算机发展简史以及计算机的应用。 教学重点: 1、计算机系统的基本构成 2、计算机系统的层次结构 3、计算机系统结构、组成及其实现 教学难点:计算机系统的层次结构、系统结构、组成及其实现的关系。明确计算机组成原理课程的任务和目的。 其它: 4、计算机的性能评价(字长、容量、速度、时间、MIPS) 5、计算机发展简史(ENIAC、冯氏计算机、其它自学) 6、计算机的应用(科学计算与数据处理的区别)

计算机组成原理实验

计算机组成原理 一、8 位算术逻辑运算 8 位算术逻辑运算实验目的 1、掌握简单运算器的数据传送通路组成原理。 2、验证算术逻辑运算功能发生器74LS181的组合功能。 8 位算术逻辑运算实验内容 1、实验原理 实验中所用的运算器数据通路如图3-1所示。其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,实验时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 图中算术逻辑运算功能发生器74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,实验时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、LDDR2为高电平有效。 另有信号T4为脉冲信号,在手动方式下进行实验时,只需将跳线器J23上T4与手动脉冲发生开关的输出端SD相连,按动手动脉冲开关,即可获得实验所需的单脉冲。 2、实验接线 本实验用到4个主要模块:⑴低8位运算器模块,⑵数据输入并显示模块,⑶数据总线显示模块,⑷功能开关模块(借用微地址输入模块)。

计算机组成原理实验

实验一基础汇编语言程序设计 一、实验目的: 1、学习和了解TEC-XP16教学实验系统监控命令的用法。 2、学习和了解TEC-XP16教学实验系统的指令系统。 3、学习简单的TEC-XP16教学实验系统汇编程序设计。 二、预习要求: 1、学习TEC-XP16机监控命令的用法。 2、学习TEC-XP16机的指令系统、汇编程序设计及监控程序中子程序调用。 3、学习TEC-XP16机的使用,包括开关、指示灯、按键等。 4、了解实验内容、实验步骤和要求。 三、实验步骤: 在教学计算机硬件系统上建立与调试汇编程序有几种操作办法。 第一种办法,是使用监控程序的A命令,逐行输入并直接汇编单条的汇编语句,之后使用G命令运行这个程序。缺点是不支持汇编伪指令,修改已有程序源代码相对麻烦一些,适用于建立与运行短小的汇编程序。 第二种办法,是使用增强型的监控程序中的W命令建立完整的汇编程序,然后用M命令对建立起来的汇编程序执行汇编操作,接下来用G命令运行这个程序。适用于比较短小的程序。此时可以支持汇编伪指令,修改已经在内存中的汇编程序源代码的操作更方便一些。 第三种办法,是使用交叉汇编程序ASEC,首先在PC机上,用PC机的编辑程序建立完整的汇编程序,然后用ASEC对建立起来的汇编程序执行汇编操作,接下来把汇编操作产生的二进制的机器指令代码文件内容传送到教学机的内存中,就可以运行这个程序了。适用于规模任意大小的程序。

在这里我们只采用第一种方法。 在TEC-XP16机终端上调试汇编程序要经过以下几步: 1、使教学计算机处于正常运行状态(具体步骤见附录联机通讯指南)。 2、使用监控命令输入程序并调试。 ⑴用监控命令A输入汇编程序 >A 或>A 主存地址 如:在命令行提示符状态下输入: A 2000↙;表示该程序从2000H(内存RAM区的起始地址)地址开始 屏幕将显示: 2000: 输入如下形式的程序: 2000: MVRD R0,AAAA ;MVRD 与R0 之间有且只有一个空格,其他指令相同 2002: MVRD R1,5555 2004: ADD R0,R1 2005: AND R0,R1 2006: RET ;程序的最后一个语句,必须为RET 指令 2007:(直接敲回车键,结束A 命令输入程序的操作过程) 若输入有误,系统会给出提示并显示出错地址,用户只需在该地址重新输入正确的指令即可。 ⑵用监控命令U调出输入过的程序并显示在屏幕上 >U 或>U 主存地址

计算机组成原理实验完整版

河南农业大学 计算机组成原理实验报告 题目简单机模型实验 学院信息与管理科学学院 专业班级计算机科学与技术2010级1班 学生姓名张子坡(1010101029) 指导教师郭玉峰 撰写日期:二○一二年六月五日

一、实验目的: 1.在掌握各部件的功能基础上,组成一个简单的计算机系统模型机; 2.了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; 3定义五条机器指令,编写相应微程序并具体上机调试。 二、实验要求: 1.复习计算机组成的基本原理; 2.预习本实验的相关知识和内容 三、实验设备: EL-JY-II型计算机组成原理试验系统一套,排线若干。 四、模型机结构及工作原理: 模型机结构框图见实验书56页图6-1. 输出设备由底板上上的四个LED数码管及其译码、驱动电路构成,当D-G和W/R均为低电平时将数据结构的数据送入数据管显示注:本系统的数据总线为16位,指令、地址和程序计数器均为8位。当数据总线上的数据打入指令寄存器、地址寄存器和程序寄存器时,只有低8位有效。 在本实验我们学习读、写机器指令和运行机器指令的完整过程。在机器指令的执行过程中,CPU从内存中取出一条机器指令到执行结束为一个指令周期,指令由微指令组成的序列来完成,一条机器指令对应一段微程序。另外,读、写机器指令分别由相应的微程序段来完成。

为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,必须设计三个控制操作微程序。 存储器读操作(MRD):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“00”时,按“单步”键,可对RAM连续读操作。 存储器写操作(MWE):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“10”时,按“单步”键,可对RAM连续写操作。 启动程序(RUN):拨动开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“11”时,按“单步”键,即可转入第01号“取指”微指令,启动程序运行。 注:CA1、CA2由控制总线的E4、E5给出。键盘操作方式有监控程序直接对E4、E5赋值,无需接线。开关方式时可将E4、E5接至控制开关CA1、CA2,由开关控制。 五、实验内容、分析及参考代码: 生成的下一条微地址 UA5 UA0 MS5 MS0 微地址

计算机组成原理实验五

上海大学计算机学院 《计算机组成原理实验》报告一 姓名:学号:教师: 时间:机位:报告成绩: 实验名称:指令系统实验 一、实验目的:1. 读出系统已有的指令,并理解其含义。 2. 设计并实现一条新指令。 二、实验原理:利用CP226实验仪(用74HC754即8D型上升沿触发器)上的K16…K23 开关为数据总线DBUS设置数据,其他开关作为控制信号,一条指令执行完 毕PC会自动加1,系统顺序执行下一条指令,但系统要进入一个新的指令序 列时,如跳转、转子程序等,必须给PC打入新的起始值——新指令序列的 入口地址。实验箱实现把数据总线的值(目标地址)打入PC的操作,以更新 PC值。 三、实验内容:1. 考察机器指令64的各微指令信号,验证该指令的功能。(假设R0=77H, A=11H, 77地址单元存放56H数据,64指令的下一条指令为E8) 2. 修改机器指令E8,使其完成“输出A+W的结果左移一位后的值到OUT” 操作。 四、实验步骤:1. 考察机器指令64的各微指令信号,验证该指令的功能。(假设R0=77H, A=11H, 77地址单元存放56H数据,64指令的下一条指令为E8) ①在初始化系统(Reset),进入微程序存储器模式(μEM状态),用NX键观 察64H,65H,66H,67H, 地址中原有的微指令,分析并查表确定其功能。 ②在EM状态下,Adr打入A0,DB打入64;按NX键,Adr显示A1,DB 打入E8。 ③在μEM状态下,在E8H、E9H、EAH、EBH下分别打入:FFDED8、CBFFFF、 FFFFFF、FFFFFF。 ④给μPC状态下,打入μPC(00)、PC(A0)、A(11)、W(00),按3次 NX输入R0(77)。 ⑤按下STEP键,观察实验现象。 2. 修改机器指令E8,使其完成“输出A+W的结果左移一位后的值到OUT” 操作。 ⑥继续按STEP键,直到进入E8状态下。 ⑦在EM状态下,打入Adr为77,DB为56。 ⑧按STEP键执行指令,观察实验现象。 五、实验现象:OUT寄存器的值为5A。 六、数据记录、分析与处理:实验结果和预期的一样。 七、实验结论:1、机器指令64对应的各微指令码为:FF77FF、D7BFEF、FFFE92、CBFFFF。其功能为:将R0寄存器的值打入地址寄存器MAR;存贮器EM将MAR输出地址所对应的值打入W寄存器;ALU直通门输出的值打入A寄存器,A、W中的值进行“与”运算,结果在A输出;PC+1,读出下一条指令并立即执行。 八、建议:暂无。

计算机组成原理实验十

上海大学计算机学院 《计算机组成原理二实验》报告十 姓名:林琦学号:xxxxxxxx 教师:王雪娟 时间:周一5-6 地点:计算机大楼609 机位:33 实验名称:十中断机制和应用(综合实验) 一、实验目的 1. 学习实验箱感知中断的硬件结构和工作原理。 2. 学习使用中断系统。 3. 学习使用扩展外设。 二、实验原理 程序中断:因“随机性”原因,使一个程序暂停执行,转而执行另一个程序,以处理随机事件,然后再返回原程序继续执行的过程成为“中断”。中断同子程序调用有共同点:执行另一个程序,然后返回。所以在调用另一个程序(中断服务子程序)时必须保存断点。中断与子程序调用有一个根本区别:中断发生的时间是随机的(不可预知,但发生后应该如何处理是安排好的),而子程序调用时间是安排好的,由程序员写下的调用指令决定。中断发生的“随机性”决定了“必须用硬件感知中断请求”、“不仅要保存断点,还必须保存现场”。中断发生时间与正在运行的程序的无关性,使得整个系统在运行一个程序的同时,还能感知其它事件的发生!这是实时监控的技术基础、是多用户、多任务、多线程技术的关键点,因此是操作系统工作的前提,是计算机系统的“点睛”之笔!深刻理解中断系统是计算机专业人员用好计算机的必备知识! 只有“中断返回”指令和复位操作使EINT为低电平,这个低电平作用到IREQ 的SD端,使上面这个D触发器的Q端为1,作用到IACK的CD端使下面这个D触发器的Q端输出0。 CK驱动下,IREQ的Q端输出D端的INT状态。当有中断请求时INT为0,则一个CK后Q端输出0,但这个0能否被CPU感知却要看①号“或门”是否允许它通过。而“非取指”微指令有IREN=1,则②号“或门”输出1,于是IREQ 的Q端无论输出0或1,①号“或门”总输出1,即不允许中断请求通过。同时这个1又送入IACK的SD端;于是下触发器的SD和CD端的输入都是无效状态,这个触发器保持稳定。

计算机组成原理实验

计算机组成原理上机实验指导

一、实验准备和实验注意事项 1.本课程实验使用专门的TDN-CM++计算机组成原理教学实验设备,使用前后均应仔细检查主机板,防止导线、元件等物品落入装置导致线路短路、元件损坏。 2.完成本实验的方法是先找到实验板上相应的丝印字及其对应的引出排针,将排针用电缆线连接起来,连接时要注意电缆线的方向,不能反向连接;如果实验装置中引出排针上已表明两针相连,表明两根引出线部已经连接起来,此时可以只使用一根线连接。 3.为了弄清计算机各部件的工作原理,前面几个实验的控制信号由开关单元“SWITCH UNIT”模拟输入;只有在模型机实验中才真正由控制器对指令译码产生控制信号。在每个实验开始时需将所有的开关置为初始状态“1”。 4.本实验装置的发光二极管的指示灯亮时表示信号为“0”,灯灭时表示信号为“1”。 5.实验接线图中带有圆圈的连线为实验中要接的线。 6.电源关闭后,不能立即重新开启,关闭与重启之间至少应有30秒间隔。 7.电源线应放置在机专用线盒中。 8.保证设备的整洁。

二、实验设备的数据通路结构 利用本实验装置构造的模型机的数据通路结构框图如下图。其中各单元部已经连接好,单元之间可能已经连接好,其它一些单元之间的连线需要根据实验目的用排线连接。 图0-2 模型机数据通路结构框图

实验一运算器实验:算术逻辑运算实验 一.实验目的 1.了解运算器的组成结构; 2.掌握运算器的工作原理; 3.掌握简单运算器的数据传送通路。 4.验证运算功能发生器(74LSl81)的组合功能。 二.实验设备 TDN-CM++计算机组成原理教学实验系统一台,排线若干。 三.实验原理 实验中所用的运算器数据通路如图1-l所示。其中两片74LSl81以串行方式构成8位字长的ALU,ALU的输出经过一个三态门(74LS245)和数据总线相连。三态门由ALU-B控制,控制运算器运算的结果能否送往总线,低电平有效。 为实现双操作数的运算,ALU的两个数据输入端分别由二个锁存器DR1、DR2(由74LS273实现)锁存数据。要将数据总线上的数据锁存到DR1、DR2中,锁存器的控制端LDDR1和LDDR2必须为高电平,同时由T4脉冲到来。 数据开关(“INPUT DEVICE”)用来给出参与运算的数据,经过三态门(74LS245)后送入数据总线,三态门由SW-B控制,低电平有效。数据显示灯(“BUS UNIT”)已和数据总线相连,用来显示数据总线上的容。 图中已将用户需要连接的控制信号用圆圈标明(其他实验相同,不再说明),其中除T4为脉冲信号外,其它均为电平信号。由于实验电路中的时序信号均已连至“W/R UNIT”的相应时序信号引出端,因此,在进行实验时,只需将“W/R UNIT”的T4接至“STATE UNIT”的微动开关KK2的输出端,按动微动开关,即可获得实验所需的单脉冲。 ALU运算所需的电平控制信号S3、S2、S1、S0、Cn、M、LDDR1、LDDR2、ALU-B、SW-B均由“SWITCH UNIT”中的二进制数据开关来模拟,其中Cn、ALU-B、SW-B为低电平有效,LDDRl、LDDR2为高电平有效。 对单总线数据通路,需要分时共享总线,每一时刻只能由一组数据送往总线。

计算机组成原理实验五存储器读写实验

实验五 存储器读写实验实验目的 1. 掌握存储器的工作特性。 2. 熟悉静态存储器的操作过程,验证存储器的读写方法。 二、实验原理 表芯片控制信号逻辑功能表

2. 存储器实验单元电路 芯片状态 控制信号状态 DO-D7 数据状态 M-R M -W 保持 1 1 高阻抗 读出 0 1 6116-^总钱 写人 1 0 总线-*6116 无效 报警 ^2-10 D7—DO A7—A0

團2-8存储器实验电路逻辑图 三、实验过程 1. 连线 1) 连接实验一(输入、输出实验)的全部连线。 2) 按逻辑原理图连接M-W M-R 两根信号低电平有效信号线 3) 连接A7-A0 8根地址线。 4) 连接B-AR 正脉冲有效信号 2. 顺序写入存储器单元实验操作过程 1) 把有B-AR 控制开关全部拨到0,把有其他开关全部拨到1,使全部信号都处 于无效 状态。 2) 在输入数据开关拨一个实验数据,如“ 00000001”即16进制的01耳 把IO-R 控制开关拨下,把地址数据送到总线。 3) 拨动一下B-AR 开关,即实现“1-0-1 ”产生一个正脉冲,把地址数据送地 址寄存器保存。 4) 在输入数据开关拨一个实验数据,如“ 10000000',即16进制的80耳 把IO-R 控 制开关拨下,把实验数据送到总线。 3. 存储器实验电路 0 O O 0 0 olo O O O O 0 00 OUTPUT L/O :W 8-AR £ ■」2 ■七 ol^Fgr' L P O 74LS273 A7- AO vz 0 o|o 0 r 6116 A7 INPUT D7-O0 [olololololololol T2

计算机组成原理实验教案

韶关学院 《计算机组成原理》 实验教案 彭玄璋 计算机科学学院计算机系

第一章实验项目 一、寄存器实验 实验要求:利用COP2000实验仪上的K16..K23开关做为DBUS的数据,其它开关做为控制信号,将数据写入寄存器,这些寄存器包括累加器A,工作寄存器W,数据 寄存器组R0..R3,地址寄存器MAR,堆栈寄存器ST,输出寄存器OUT。 实验目的:了解模型机中各种寄存器结构、工作原理及其控制方法。 实验说明: 寄存器的作用是用于保存数据的,因为我们的模型机是8位的,因此在本模型机中大部寄存器是8位的,标志位寄存器(Cy, Z)是二位的。 COP2000用74HC574来构成寄存器。74HC574的功能如下: 1. 在CLK的上升沿将输入端的数据打入到8个触发器中 2. 当OC = 1 时触发器的输出被关闭,当OC=0时触发器的输出数据 OC CLK Q7..Q0 注释 1 X ZZZZZZZZ OC为1时触发器的输出被关闭 0 0 Q7..Q0 当OC=0时触发器的输出数据 0 1 Q7..Q0 当时钟为高时,触发器保持数据不变 X D7..D0 在CLK的上升沿将输入端的数据打入到触发器中 74HC574工作波形图

实验1:A,W寄存器实验 寄存器A原理图 寄存器W原理图 寄存器A,W写工作波形图 连接线表 连接信号孔接入孔作用有效电平 1 J1座J3座将K23-K16接入DBUS[7:0] 2 AEN K 3 选通A 低电平有效 3 WEN K 4 选通W 低电平有效 4 ALUCK CLOCK ALU工作脉冲上升沿打入将55H写入A寄存器

计算机组成原理实验实验报告

计算机组成原理实验报告 学院信息与管理科学学院 专业班级计算机科学与技术2010级2班学生姓名毛世均 1010101046 指导教师郭玉峰 撰写日期:二○一二年六月四日

SA4=1 1.根据上边的逻辑表达式,分析58页图6-2的P1测试和P4测试两条指令的微地址转移方向。 P1测试:进行P1测试时,P1为0,其他的都为1, 因此SA4=1, SA3=I7,SA2=I6,SA1=,SA0=I4 微地址011001,下址字段为001000下址字段001000译码后,高两位不变,仍然为00,低四位受到机器指令的高四位I7-I4的影响。 机器指令的高四位为0000时,下一条微指令地址为001000,转到IN 操作。机器指令高四位0010时,下一条微指令地址为001010,转到MOV 操作。机器指令高四位为0001时,下一条微指令地址为001001,转到ADD 操作。机器指令高四位为0011时,下一条微指令地址为001011,转到OUT 操作。机器指令高四位为0100时,下一条微指令地址001100,转到JMP 操作 P4测试:进行P4测试时,P4为0,其他的都为1. 因此SA4=SA3=SA2=1,SA1=CA2,SA0=CA1 微地址000000,下址字段为010000. 010000被译码之后,高四位不变,0100低两位由CA2和CA1控制。CA2和CA1的值是由单片机的键盘填入控制的。 当实验选择CtL2=1时,CA2和CA1被填入0和1,这时低两位被译码电路翻译成01,所以下一条微地址就是010001,然后进入写机器指令的状态。当实验选择CtL2=2时,CA2和CA1被填入1和0,这时低两位被译码电路翻译成10,所以下一条微地址就是010010,然后进入读机器指令的状态。当实验选择CtL2=2时,CA2和CA1被填入1和1,这时低两位被译码电路翻译成 11,所以下一条微地址就是010011,然后进入运行机器指令的状态。 2.分析实验六中五条机器指令的执行过程。

计算机组成原理实验报告5- PC实验

2.5 PC实验 姓名:孙坚学号:134173733 班级:13计算机日期:2015.5.15 一.实验要求:利用CPTH 实验仪上的K16..K23 开关做为DBUS 的数据,其它开关做为控制信号,实现程序计数器PC的写入及加1 功能。 二.实验目的:1、了解模型机中程序计数器PC的工作原理及其控制方法。2、了解程序执行过程中顺序和跳转指令的实现方法。 三.实验电路:PC 是由两片74HC161构成的八位带预置记数器,预置数据来自数据总线。记数器的输出通过74HC245(PCOE)送到地址总线。PC 值还可以通过74HC245(PCOE_D)送回数据总线。 PC 原理图 在CPTH 中,PC+1 由PCOE 取反产生。 当RST = 0 时,PC 记数器被清0 当LDPC = 0 时,在CK的上升沿,预置数据被打入PC记数器 当PC+1 = 1 时,在CK的上升沿,PC记数器加一 当PCOE = 0 时,PC值送地址总线

PC打入控制原理图 PC 打入控制电路由一片74HC151 八选一构成(isp1016实现)。 当ELP=1 时,LDPC=1,不允许PC被预置 当ELP=0 时,LDPC 由IR3,IR2,Cy,Z确定 当IR3 IR2 = 1 X 时,LDPC=0,PC 被预置 当IR3 IR2 = 0 0 时,LDPC=非Cy,当Cy=1时,PC 被预置 当IR3 IR2 = 0 1 时,LDPC=非Z,当Z=1 时,PC 被预置 连接线表 四.实验数据及步骤: 实验1:PC 加一实验

置控制信号为: 按一次STEP脉冲键,CK产生一个上升沿,数据PC 被加一。 实验2:PC 打入实验 二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据12H 置控制信号为: 每置控制信号后,按一下STEP键,观察PC的变化。 五.心得体会: 经过上一个实验的练习,在做这个实验的时候更加得心应手,了解了模型机中程序计数器PC的工作原理及其控制方法,还有了解了程序执行过程中顺序和跳转指令的实现方法。

----计算机组成原理教案

----计算机组成原理教案 农业大学教案 xx ~ xx 学年 第一学期学院名称软件学院课程名称计算机组 成原理课程性质专业必修课授课对象软件1301-1306 授课教师郝王丽职称助教使用教材《计算机组成原理》(第2版)高等教育出版社唐朔飞编著授课时数64 xx 年8月25 日教案授课时间 xx~xx学年第一学期教案编写时间 xx年8 月课程名称计算机组成原理课程编号总学时:64讲课:64 学时实验: 0 学时实习: 0 学时学分数4课型专业基础必修课任课教师郝王丽职称 助教授课对象软件1301-1306基本教材和主要参考资料使用教 材:《计算机组成原理》(第2版),唐朔飞编著,高等教育出版社参考资料:[1]《计算机组成原理》,白中英编著,科学出版社,2000、1[2]《计算机组成原理》,张新荣,李雪威,于瑞国著,机械工业出版社,xx、3[3]《计算机组成原理》(第2 版),罗克露,俸志刚编著,电子工业出版社,xx、2[4]《电子 计算机组成原理》, 蒋本珊著,北京理工大学出版社,2004、8[5]《计算机组成原理》(第2版),蒋本珊编著,清华大学出版 社,xx、9[6] John L、 Hennessy and David

A、 Patterson, "Computer organization and design, the hardware/software interface", Morgan Kaufmann, Second Edition,1998[7] Patterson and Hennessy, "Computer Organization & Design: the Hardware/Software Interface (2nd edition)",Morgan Kaufmann Publishers、教学目的和要求《计算机组成原理》是软件工程专业本科教学中的一门重要专业基础课,是《计算机系统结构》、《操作系统》、《嵌入式系统原理及应用》等课程的先驱课程。在计算机、网络工程和软件工程等专业的教学计划中占有重要地位和作用。学习本课程旨在使学生掌握运算器、控制器、存储器的构成原理、指令流程、组合逻辑控制、微程序控制、I/O控制等内容;了解数据信息的表示、运算方法与各类半导体存储器、辅助存储器,为更深入地学习后继课程打下基础。教学重点、难点了解:计算机的软硬件概念,计算机系统的层次结构,计算机的发展史,总线概念及分类,RISC技术,CPU的功能和内部结构,微操作命令的分析,微程序设计。理解:计算机的工作过程,总线特性及性能指标,静态和动态RAM、ROM、Cache,I/O设备与主机的联系方式和信息传送控制方式,组合逻辑设计。掌握:冯诺依曼计算机的特点,总线结构,存储器的分类和层次结构, I/O接口的功能和组成及类型,机器指令的一般格式及其在存储器中的存放方式,机器指令中的寻址方式。熟练掌握:计算机硬件的主要技术指标,总线的判优控制和通信控制,Cache-主存地址映射及替换策略,主机与I/O

计算机组成原理实验说明分解

实验一运算器组成实验 一、实验目的 1.熟悉双端口通用寄存器堆(组)的读写操作。 2.熟悉简单运算器的数据传送通路。 3.验证运算器74LS181的算术逻辑功能。 4.按给定数据,完成指定的算术、逻辑运算。 二、实验原理 上图是本实验所用的运算器数据通路图。参与运算的数据首先通过实验台操作板上的八个二进制数据开关SW7-SW0来设置,然后输入到双端口通用寄存器堆RF中。

RF由一个ispLSI1016实现,功能上相当于四个8位通用寄存器,用于保存参与运算的数据,运算后的结果也要送到RF中保存。双端口寄存器堆模块的控制信号中,RS1、RS0用于选择从B端口(右端口)读出的通用寄存器,RD1、RD0用于选取从A端口(左端口)读出的通用寄存器。而WR1、WR0用于选择写入的通用寄存器。LDRi是写入控制信号,当LDRi=1时,数据总线DBUS上的数据在T3写入由WR1、WR0指定的通用寄存器。RF的A、B端口分别与操作数暂存器DR1、DR2相连:另外,RF的B端口通过一个三态门连接到数据总线DBUS上,因而RF 中的数据可以直接通过B端口送到DBUS上。 DR1和DR2各由1片74LS273构成,用于暂存参与运算的数据。DR1接ALU 的A输入端口,DR2接ALU的B端口。ALU由两片74LS181构成,ALU的输出通过一个三态门(74LS244)发送到数据总线DBUS上。 图中尾巴上带粗短线标记的信号都是控制信号,其中S3,S2,Sl,S0,M,Cn#,LDDR2,LDDRl, ALU-BUS#,SW-BUS#、LDRi、RS1、RS0、RD1、RD0、WR1、WR0等是电位信号,用电平开关K0—Kl5来模拟。T2、T3是脉冲信号,印制板上已连接到实验台的时序电路上。#为低电平有效。K0—K15是一组用于模拟各控制电平信号的开关,开关向上时为1,开关向下时为0,每个开关无固定用途,可根据实验具体情况选用。 实验中进行单拍操作,每次只产生一组Tl,T2,T3,T4脉冲,需将实验台上的DP,DB开关进行正确设置。将DP开关置l,将DB开关置0,每按一次QD 按钮,则顺序产生Tl、T2、T3、T4各一个单脉冲。 三、实验任务 1.按图要求,将运算器模块与实验台操作板上的线路进行连接。 置DP=1,DB=0,编程开关拨到正常位置. 2.用开关SW7-SW0向通用寄存器堆RF内的R0-R3寄存器置数34H、21H、52H、65H。然后读出R0-R3的内容,在数据总线DBUS上显示出来。 3.令DR1=55H、DR2=0AAH、Cn#=1,验证ALU的正逻辑算术、逻辑运算功能。 四、实验要求 1.做好实验预习。掌握运算器的数据传送通路和ALU的功能特性,并熟悉本实验中所用的控制台开关的作用和使用方法。

计算机组成原理实验1.

计算机组成原理实验1 运算器(脱机)实验 通过开关、按键控制教学机的运算器执行指定的运算功能,并通过指示灯观察运算结果。实验原理: 为了控制Am2901运算器能够按照我们的意图完成预期的操作功能,就必须向其提供相应的控制信号和数据。 控制信号包括 1、选择送入ALU的两路操作数据R和S的组合关系(实际来源)。 2、选择ALU的八种运算功能中我们所要求的一种。这可通过提供三位功能选择码I5、 I4、I3实现。 3、选择运算结果或有关数据以什么方式送往何处的处理方案,这主要通过通用寄存器 组合和Q寄存器执不执行接收操作或位移操作,以及向芯片输出信息Y提供的是 什么内容。这是通过I8、I7、I6三位结果选择码来控制三组选择门电路实现的。 外部数据包括 1、通过D接收外部送来的数据 2、应正确给出芯片的最低位进位输入信号C n 3、关于左右移位操作过程中的RAM3、RAM0、Q3和Q0的处理。 4、当执行通用寄存器组的读操作时,由外部送入的A地址选中的通用寄存器的内容送 往A端口,由B地址选中的通用寄存器的内容送往B端口,B地址还用作通用寄 存器的写汝控制。 对于芯片的具体线路,需说明如下几点: 1、芯片结果输出信号的有无还受一个/OE(片选)信号的控制。 2、标志位F=0000为集电极开路输出,容易实现“线与”逻辑,此管脚需经过一个电阻 接到+5V。 3、RAM3、RAM0、Q3和Q0均为双向三态逻辑,一定要与外部电路正确连接。 4、通用寄存器组通过A端口、B端口读出内容的输出处均有锁存器线路支持。 5、该芯片还有两个用于芯片间完成高速进位的输出信号/G和/P。 6、Am2901芯片要用一个CLK(CP)时钟信号作为芯片内通用寄存器、锁存器和Q寄 存器的打入信号。 实验步骤如下: (1)选择运算器要完成的一项运算功能,包括数据来源,运算功能,结果保存等;(2)需要时,通过数据开关向运算器提供原始数据; (3)通过24位的微型开关向运算器提供为完成指定运算功能所需要的控制信号; (4)通过查看指示灯或用电表量测,观察运算器的运行结果(包括计算结果和特征标志)。实验准备 12为微型开关的具体控制功能分配如下: A口和B口地址:送给Am2901器件用于选择源与目的操作数的寄存器编号; I8~I0:选择操作数来源、运算操作功能、选择操作数处理结果和运算器输出内容的3组3位控制码; Sci,SSH和SST:用于确定运算器最低位的进位输入、移位信号的入/出和怎样处理Am2901产生的状态标志位的结果。

计算机组成原理实验五参考

实验五CPU组成与机器指令执行实验 第一步,对机器指令系统组成的简单程序进行译码。 第二步,接线 本实验的接线比较多,需仔细。 1.将跳线开关J1用短路子短接。时序发生器的输入TJI接控制存储器的输出TJ。控制器的输入C接运算器ALU的C。控制器的输入IR7、IR6、IR5、IR4依次指令寄存器IR的输出IR7、IR6、IR5、IR4。共6条线。

2.控制器的输出LDIR(CER)、LDPC(LDR4)、PC_ADD、PC_INC、M4、LDIAR、LDAR1(LDAR2)、AR1_INC、M3、LDER、IAR_BUS#、SW_BUS#、RS_BUS#、ALU_BUS、CEL#、LRW、WRD、LDDR1(LDDR2)、M1(M2)、S2、S1、S0 依次与数据通路的对应信号连接。共27条线。

3.指令寄存器IR的输出IR0接双端口寄存器堆的RD0、WR0,IR1接RD1、WR1,IR2接RS0,IR3接RS1。共6条线。 合上电源。按CLR#按钮,使实验系统处于初始状态。 第三步,利用控制台微程序KLD设置通用寄存器R2、R3的值 在本操作中,我们打算使R2 = 60H,R3 = 61H。 1.令DP = 0,DB = 0,DZ =0,使实验系统处于连续运行状态。令SWC = 0、SWB = 1、SWA = 1,使实验系统处于寄存器加载工作方式KLD。按CLR#按钮, 使实验系统处于初始状态。 2.在SW7—SW0上设置一个存储器地址,该存储器地址供设置通用寄存器使用。 该存储器地址最好是不常用的一个地址,以免设置通用寄存器操作破坏重要的存储 器单元的内容。例如可将该地址设置为0FFH。按一次QD按钮,将0FFH写入AR1 和AR2。

计算机组成原理教案

《计算机组成原理》课程教案 计算机科学系

本课程是计算机专业本科生的核心课程,是主干必修课。课程以阐述原理为主,讲述计算机系统及其各功能部件的工作原理以及逻辑实现,计算机系统及其各功能部件的设计原理以及并行处理技术。设置这一课程的目的是使学生掌握计算机的基本工作原理,掌握计算机各主要部件的硬件结构、相互联系和作用,掌握计算机系统的设计原理以及软硬件的界面,从而对整个计算机系统有完整的了解,为计算机专业的后继课程的学习打下基础。 一、本课程得主要内容 1、计算机系统概论 2、逻辑电路设计基础(复习及提高) 3、信息编码和数据表示 4、计算机算法和算法逻辑实现 5、存储器 6、计算机指令构成和寻址方式 7、处理机设计 8、流水线处理机 9、存储系统 10、输入输出设备 11、输入输出系统 二、本课程教学重点与难点 重点:信息编码和数据表示 控制器 存储系统 输入输出系统

三、教材选用 William Stallings《计算机组织与结构--性能设计(第五版)》.高等教育大学出版社, 四、参考教材: 主要参考书: 1、李亚明.《计算机组成与系统结构》.清华大学出版社.2001 2、王爱英.《计算机组成与结构》.清华大学出版社.1998 3、江义鹏.《计算机组成原理》.人民邮电出版社.1998 4、胡越明.《计算机组成和系统结构》.上海科学技术文献出版社.1999 5、俸远祯.《计算机组成原理》.电子工业出版社 6、李亚民.《计算机组成与系统结构》.清华大学出版社 2000年 7、袁开榜.《计算机组成原理》高等教育出版社 8、白中英、韩兆轩编.《计算机组成原理》.科学出版社 9、唐朔飞.《电子数字计算机原理》.哈尔滨工业大学出版社参考书: 10、邹海明.《计算机组织与结构》.电子工业出版社..1993年.版. 11、 John L. Hennessy and David A. Patterson, "Computer organization and design, the hardware/software interface", Morgan Kaufmann, Second Edition, 1998 12、John L. Hennessy and David A. Patterson, “Computer architecture: a quantitative approach”, Morgan Kaufmann, Second Edition, 1996 13、Patterson and Hennessy, "Computer Organization & Design: the Hardware/Software Interface (2nd edition)",Morgan Kaufmann Publishers. 五、教学手段:投影片+版书 六、课程内容和学时分配 (整体安排按信息表示、信息处理、信息输出思路。) 第一章计算机系统概论 教学内容: 1、计算机系统的基本构成 2、计算机系统的层次结构 3、计算机系统结构、组成及其实现

计算机组成原理实验

计算机组成原理 实验报告 学院(系):软件学院 专业:软件设计 班级:软件设计一班 学号:1415925365 姓名:沈烨 2016年11月24日

实验1 Cache模拟器的实现 一.实验目的 (1)加深对Cache的基本概念、基本组织结构以及基本工作原理的理解。 (2)掌握Cache容量、相联度、块大小对Cache性能的影响。 (3)掌握降低Cache不命中率的各种方法以及这些方法对提高Cache性能的好处。 (4)理解LRU与随机法的基本思想以及它们对Cache性能的影响。 二、实验内容和步骤 1、启动CacheSim。 2、根据课本上的相关知识,进一步熟悉Cache的概念和工作机制。 3、依次输入以下参数:Cache容量、块容量、映射方式、替换策略和写策略。 4、读取cache-traces.zip中的trace文件。 5、运行程序,观察cache的访问次数、读/写次数、平均命中率、读/写命中率。思考:1、Cache的命中率与其容量大小有何关系? Cache 的容量与块长是影响cache效率的重要因素; Cache 容量越大,其CPU命中率就越高,当然容量过大,增加成本,而且cache 容量达到一定值时,命中率已不因容量的增加而又明显的提高; 2、Cache块大小对不命中率有何影响? Cache 当块由小到大,在已被访问字的附近,近期也可能访问,增大块长,可将更多有用字存入缓存,提高命中率;但是继续增大块长,命中率可能下降,因为所装入缓存的有用数据反而少于被替换掉的有用数据,由于块长增大,块数减少,装入新的块要覆盖旧块,很可能出现少数块刚装入就被覆盖,故命中率可能下降; 3、替换算法和相联度大小对不命中率有何影响? 替换算法中:LRU算法的平均命中率比FIFO的高 LRU算法比较好地利用访存局部性原理,替换出近期用得最少的字块,它需要随时记录cache 各个字块使用情况。FIFO不需要记录各个字块的使用情况,比较容易实现开销小,但是没有根据访存的局部性原理,最早调入的信息可能以后还要用到,或经常用到例如循环程序; Cache 容量一定时,随着相联度的不断增加,不命中率渐渐减小,但是当相连度增加到一定程度时,不命中率保持不变;

计算机组成原理实验五参考

计算机组成原理实验五 参考 文档编制序号:[KK8UY-LL9IO69-TTO6M3-MTOL89-FTT688]

实验五 CPU组成与机器指令执行实验 第一步,对机器指令系统组成的简单程序进行译码。 地址指令机器代码 00H LDAR0,[R2]58H 01H LDA R1,[R3]5DH 02H ADD R0,R104H 03H JC +596H 04H AND R2,R33EH 05H SUB R3,R21BH 06H STA R3,[R2]4BH 07H MUL R0,R124H 08H STP60H 09H JMP [R1]84H 第二步,接线 本实验的接线比较多,需仔细。 1.将跳线开关J1用短路子短接。时序发生器的输入TJI接控制存储器的输出TJ。控制器的输入C接运算器ALU的C。控制器的输入IR7、IR6、IR5、IR4依次指令寄存器IR的输出IR7、IR6、IR5、IR4。共6条线。 2.控制器的输出LDIR(CER)、LDPC(LDR4)、PC_ADD、PC_INC、M4、LDIAR、

LDAR1(LDAR2)、AR1_INC、M3、LDER、IAR_BUS#、SW_BUS#、RS_BUS#、 ALU_BUS、CEL#、LRW、WRD、LDDR1(LDDR2)、M1(M2)、S2、S1、S0 依次与数据通路的对应信号连接。共27条线。 3.指令寄存器IR的输出IR0接双端口寄存器堆的RD0、WR0,IR1接RD1、WR1,IR2接RS0,IR3接RS1。共6条线。 合上电源。按CLR#按钮,使实验系统处于初始状态。 第三步,利用设置通用寄存器R2、R3的值

计算机组成原理实验

成绩:计算机原理实验室实验报告 课程:计算机组成原理 姓名:姜香玉 专业:网络工程 学号:132055215 日期:2015年12月 太原工业学院 计算机工程系

实验一:运算器实验 实验环境PC机+Win 2003+emu8086+proteus仿真器实验日期2015年.10 一.实验内容 1.熟悉proteus仿真系统 2.设计并验证4位算数逻辑单元的功能 3.实现输入输出锁存 4.实现8位算数逻辑单元 二.理论分析或算法分析 实验原理: 算术逻辑运算单元的核心是由74LS181 构成,它可以进行二进制数的算术逻辑运算,74LS181 的各种工作方式可通过设置其控制信号来实现。当正确设置74LS181的各个控制信号,74LS181 会运算数据锁存器内的数据。由于数据锁存器已经把数据锁存,只要74LS181的控制信号不变,那么74LS181 的输出数据也不会发生改变。输出缓冲器采用74LS245,当控制信号为低电平时,74LS245导通,把74LS181 的运算结果输出到数据总线,高电平时,74LS245 的输出为高阻。 实验中所用的运算器数据通路如图所示。 其中运算器由两片74LS181以并/串形式构成8位字长的ALU。 运算器的输出经过一个三态门(74LS245)以8芯扁平线方式和数据总线相连,运算器的2个数据输入端分别由二个锁存器(74LS273)锁存,锁存器的输入亦以8芯扁平线方式与数据总线相连,数据开关(INPUT DEVICE)用来给出参与运算的数据,经一三态门(74LS245)以8芯扁平线方式和数据总线相连,数据显示灯(BUS UNIT)已和数据总线相连,用来显示数据总线内容。

相关文档
最新文档