扩频系统使用的伪随机码PN码

扩频系统使用的伪随机码PN码
扩频系统使用的伪随机码PN码

第六章 扩频系统使用的伪随机码(PN 码)

在扩展频谱系统中,常使用伪随机码来扩展频谱。伪随机码的特性,如编码类型,长度,速度等在很大程度上决定了扩频系统的性能,如抗干扰能力,多址能力,码捕获时间。 6.1 移位寄存器序列 移位寄存器序列是指由移位寄存器输出的由“1”和“0”构成的序列。相应的时间波形是指由“1”和“-1”构成的时间函数,如图6-1所示。

图6-1 (a )移位寄存器序列

(b )移位寄存器波形

移位寄存器序列的产生如图6-2 。主要由移位寄存器和反馈函数构成。移位寄存

器内容为),,,(21n x x x f 或1,反馈函数的输入端通过系数与移位寄存器的各级状态相联()(1)(0通或断=i c )输出通过反馈线作为1x 的输入。移位寄存器在时钟的作用下把反馈函数的输出存入1x ,在下一个时钟周期又把新的反馈函数的输出存入1x 而把原1x 的内容移入2x ,依次循环下去,n x 不断输出。

根据反馈函数对移位寄存器序列产生器分类:

(1) 线性反馈移位寄存器序列产生器(LFSRSG ):如果),,(1n x x f 为n x x ,,1 的模2加。

(2) 非线性反馈移位寄存器序列产生器(NLFSRSG ):如果),,(1n x x f 不是n x x ,,1 的

模2加。

例1: LFSRSG :n=4,4314321),,,(x x x x x x x f ⊕⊕=

(a)

图6-2 移位寄存器序列生成器

共16个不同状态,1111,0000为死态,每个状态只来自一个前置态。

例2: LFSRSG :n=4,4143214321),,,(,1,0,0,1x x x x x x f c c c c ⊕=====

设初态为:1,1,1,14321====x x x x ,则移位寄存器状态转移图如下:

共16个状态,0000为死态,共有15个状态构成以15为周期的循环中,每个状态在一个周期中只出现1次。

例3: NLFSRSG :n=4,414321),,,(x x x x x x f =

在16种状态中,1111,0000为死态,且0011,0001,0010,0000可来自不止一个前置态。

比较以上三例看出:

(1) LFSRSG : 任一状态只来自一个前置态。

NLFSRSG :有的状态来自不止一个前置态。

(2) 对LFSRSG ,例1中初态不同,则状态的转移路径也不同。例2中,对除“0”态以外

的任一初态,状态转移路径均相同,且所经历的状态数为12-n

,即把除全“0”以外的状态全部穷尽。称此为最大长度线性反馈移位寄存器序列(简称m 序列)。 6.2 m 序列

6.2.1 m 序列的性质

(1) n 级m 序列的长度为12-=n

N ,m 序列的穷尽。如例2:1512,4=-==n

N n 。

(2) 在m 序列中,“1”的个数比“0”的个数多1,即为

)1(2

1

+N ,“0”的个数为)1(2

1

-N 。如例2中有8个“1”,7个“0”。

(3) 用宽度为n 的窗口沿m 序列滑动N 次,每次移1位,除全“0”外,其它每种n 位状态

刚好出现一次。如例2。

(4) 在m 序列中定义连续相同的一组符号为一个游程,把该相同符号的个数称为游程长

度,则对任一m 序列有:

a) “1”的长度为n 的游程只有1个,“0”的长度为n 的游程为0个。如例2中有一

个“1111”,无“0000”。

b) “1”的长度为(n-1)的游程为0个,“0”的长度为(n-1)的游程为1个。如例2中

有一个“000”,无“111”。

c) “1”的长度为(n-2),“0”的长度为(n-2)的游程各为1个。如例2中有一个

“11”,一个“00”。

d) “1”的长度为(n-3),“0”的长度为(n-3)的游程各为2个。如例2中有二个

“1”,“1”,二个“0”,“0”。

e) “1”的长度为(n-4),“0”的长度为(n-4)的游程各为4个。例2中无法验证。

f) “1”的长度为k,“0”的长度为k 的游程各为22--k n 个,其中1≤k ≤n-1,

)

)

??

?-==b n k a n k 如如,1, (5) 一个m 序列与该序列的任意位相移后的序列模2加后仍为具有某种相移的该m 序列。

此性质为线形叠加性。

(6) 自相关函数是周期性的,双电平。

???

??≠±±=-±±===lN k k N

l lN k k R AC

且 ,2,1,1,2,1,0,,0.1)( AC R 为归一化自相关函数。

定义:

①在每个序列周期内“0”与“1”的数目最多差1

②如(4)中符号的关联特性

③在一个序列周期内,()())(0,10常数c k R R AC AC =≠= 满足以上三条者称为PN 码。显然m 序列是PN 码。

例: n=4, ()=K R AC ???

??-=-非完全对准

完全对准总位数不同位数相同位数15

1

1

)15(

6.2.2 自相关和互相关函数

在扩展频谱系统中,不管是通信系统还是测距系统,都非常注重研究扩频码的自

相关和互相关特性。特别是在码分多址通信系统中,码序列的过大的自相关旁瓣和互相关峰值会使码捕获的虚警概率增加,对雷达系统(扩频方式)也是类似影响。 自相关函数定义为 ?

--=dt t f t f R AC )()()(ττ

)(t f 为捕获序列,也常用)(t c 表示

互相关函数定义为

?

--=dt t g t f R CC )()()(ττ

其中)(t f 、)(t g 为两个码序列。

——平衡性 ——随机性

对二进制时间离散码序列,自相关函数和互相关函数的计算可简化如下:

把两个码序列进行逐对和逐比特比较(模2加),则自相关(或互相关)值为一

致比特数减不一致比特数,逐次改变τ从0—c n

T )12(-,则可得到自相关(或互相关)

函数,如图6-3。如把相关值除以)12(-n

,称为归一化相关函数。显然,自相关函数的最大值为1。为了表示自相关和互相关特性的好与不好,引入“鉴别指数”(ID),它表示最大自相关值与次最大自相关值之间的差值,或最大自相关值与最大互相关值之间的差值。对于m 序列,自相关鉴别指数n ID 2=或1

211

-n

(最大相关值为12-n

,其它相关值)(c T ≥τ为-1,鉴别指数越小,接收机的鉴别能力越强。两个码序列的互相关函数一般与具体码序列有关,不同的码序列互相关函数一般不相同,所以鉴别指数也不同。在码分多址通信中,对一组地址码中的任两个码序列之间的互相关特性都必须研究清楚,如有几种不同的互相关值,每种出现几次等。

例: 5级m 序列:[5,3]与[5,2](互为镜像,也称反码)的互相关峰值为11,鉴别指数为20(31-11)。[5,3]码与[5,4,32]码互相关峰值为7,鉴别指数为31-7=24,但在一周期内出现10次。

思考题:试讨论互相关值大小与出现次数对接收性能的影响(①只有两个地址码(功率相同和不同。②有多个地址码,但任两个地址码间的互相关值及分布均相同。③对m 序列,参与反馈⊕的数目为奇还是为偶?④如果考虑到地址码序列的电平不完全相同,结果如何?)

6.2.3 m 序列的平均功率谱密度

m 序列是一种伪随机序列,根据平稳随机过程理论,它的平均功率谱密度为其自相

关函数的付氏变换,即

?∞

--=ττωωτd e R S j AC R )()(

其中)(τAC R 为自相关函数,在一个周期内, 即AC c R NT ,0≤≤τ如图6-4。

????

?????≤≤--+-+-≤≤-≤≤+-=C

C C C

C C C

C AC

NT T N N N T T N T n T N

T N T R τττττ)1(1)11()1()1(10)11(1

)(c

T 图6-3 m 序列自相关函数 )(c T

图6-4

∑∞

-∞

=-=

m m

R mf f p

f S )()(0δ

————离散谱

其中 ???

?

?????=???????±±=≠+==C m NT

f m m N m c N N m N p 1)2,1(0)(sin 101

0222

π 若∞→N ,则)(f S R 为连续形(如图6-5所示) 。

)(sin )

()

(sin )(22

2C C C C C R fT c T fT fT T f S πππ==

m 序列谱特点:

①谱是离散的,因为)(τAC R 是周期性的。谱线间隔为C

NT 1

,如N 很大,则谱线间隔很 小,近似为连续谱。

②第一个零点在C

T f 1

=

处,主瓣宽度为C T 2。

③00=f 时,201

N

p =。N 很大时,00≈p 。

6.2.4 m 序列的产生 6.2.4.1 m 序列产生器的结构

在设备中,m 序列可以用硬件产生,也可以用软件产生,然后存在ROM 中。在硬件

中可使用移位寄存器,也可用声表面滤波器件等延迟线来产生。用移位寄存器产生m 序列,从结构上又有两种方式,一种是简单线性码序列发生器(SSRG),另一种是模块式码序列发生器(MSRG),前一种结构如图6-6(上),参加反馈的各级输出经多次模二加后把最后结果送入第一级。第二种结构如图6-6(下),多级的输出都可能与反馈信号模二和后送入下一级,因为n 级码产生器是由几个相同模块构成,因而称为模块式结构,每个模块中包括一级触发器和一级模二加构成。可以证明,这两种结构是等价的,即可产生同一m 序列,不同的是前一种因多个模二加是串联的,所以延时大,工作速度较低,后一种模二加在各级触发器之间,模二加的动作是同时并行的,所以延时小,工作速度高。Motolora 公司把四个模块集成在一起,型号为MC8504。注意,不管哪种结构都需有全“0”起动电路,否则由于某种原因(如启动)发生器可能死在全“0”状态。

c

T

c

T -

c

T

c

T -

图6-5 m 序列功率谱密度

6.2.4.2 SSRG 的反馈系数

如何提取SSRG 的反馈系数才能得到最大长度)12(-m

序列?这显然是十分重要的问题。这就必须从移位寄存产生器的数字基础讲起。

首先,对移位寄存器状态和它的运转特性,可以通过n 维矢量矩阵的运算来表

示。如对n=4

)()()()()(0100001

0000

1)1()1()1()1()1()1(432143

214321j AX j x j x j x j x c c c c j x j x j x j x j x =???

?

??

?

????????? ??==+=?

?????

? ??++++

其中(j)表示第j 次移位,(j+1)表示第j+1次移位,矩阵中4321,,,c c c c 表示反馈系数即为1x 的第j+1时刻的输出,以下第i 行的1表示i x 在j+1时刻的输出为j 时刻1x 的输出。

对n 级

)()()()()(01

000000

10000

01)1()1(32113

21j X A j x j x j x j x c c c c c j x nn n n n n n =??

???

??

?

??????????

?

?==+?-

在nn A 中第一行:)1(,,,121=-n n c c c c 完全表明了反馈函数与各级寄存器的关系,其它各行只是表明下一级输入是前一级的输出,只是状态的延时,所以能否构成最大长度序列,

完全取决于)1(,,,121=-n n c c c c 。换句话说,nn A 完全表达了寄存器的状态转移。

特征方程和特征多项式: 特征多项式对研究移位寄存器序列的产生起到非常重要的作用,是一个重要工具。对于n n ?的A 矩阵,将行列式I A λ-定义为nn A 的特征多项式)(λf ,称方程

0)1()1()(2210==++++==n n c c c c f λλλλ 为特征方程,记为)(λF ,其中I 为n n ?单位矩阵λ,为参数。

图6-6 m 序列产生器结构 (a)

SSRG (b) MSR

G

例: n=4

011

0010001

132

23144321=++++=??????

?

?

?---=-=-λλλλλλλλλc c c c c c c I A (因为-1=+1,模2)

对n 级,有

特征多项式为

∑==n

k k k c x f 0

)(λ

10=c ,一般取1=n c ,否则低于n 级

特征多项式的系数n c c c ,,,21 与SSRG 的反馈连接系数n c c c ,,,21 一一对应。所以,研究m 序列的反馈连接系数问题就转化成从数学上研究特征多项式的特性。

定理:如果SSRG 序列的长度为最大,则特征多项式是不可约的。 (必要条件) 定理:若n 阶特征函数是不可约的,则SSRG 的序列周期是的12-n

一个因子。 (对产生

m 序列不充分) 推论:如果12-n 是个素数,则每个不可约的n 阶特征多项式对应一个最大长度的移位寄

存器序列。如果对任意的n (即12-n

不一定是素数)都产生最大长度序列,则特征多项式必须是本原的。所谓本原多项式,是当且仅当不可约的n 阶多项式能够

除尽1-m

x ,其中m 不小于12-n 。

根据该推论,先求本原多项式,确定系数n c c c ,,,21 中哪些为0,哪些为1,即

可得到SSRG 的反馈连接方式。

6.2.4.3 最大长度多项式的数目

Zierler 证明,最大长度序列的数目由下式给出:

n N n m )12(-Φ=

其中)12(-Φn 是欧拉数,它等于包括1在内的小于12-n 而与12-n

互质的正整

数个数。

例: n=4,则24

8

==m N (与15互质的数有14,13,11,8,7,4,2,1 或不互质数:3,5,6,9,10,12。见表7.1)

Zierler 还证明,n 阶不可约多项式数目为:

∑=

d d

I d

n n N )(21μ 其中d 为能整除n 的正整数,包括1和n 。如n=6,则d=1,2,3,6。

111=+++- n n c λλ

μ函数的含义为:若p 和q 是不同的本原数,则

???????????-????

????????==??===??===?===>==∏∏==个不同素数的积

为如是正整数,且,k n n n n p n n n k k

i i i k i i i )

1(23

327222312224,10

1

1)(21232

211ααααααμα例: n=4,则d=1,2,4

[]

[]

[]34164

1

16)1(4041)1(16)2(4)2(241)44(2)24(2)14(24112

421=-=+-?+=++=??????++=

μμμμμμI N

本原多项式的反商也是本原多项式(本原多项式性质)。反商定义为:

)1()(x

f x x f n R =

例: n=4, 4

1)(x x x f ++=是本原多项式。

则 43441)1

11()(x x x

x x x f R ++=++=也是本原多项式。

6.2.4.4 m 序列生成表

在给定n 之后找出本原多项式,从而确定)1(,,,,121=-n n c c c c ,即确定了具体反馈连接方式,利用反商(逆)可使具体实现方式加倍。 若给定的反馈逻辑],,,,[n r q p ,则利用反商得到的系数形式为

],,,,[n r n q n p n ---,称为镜像序列。 SSRG 与MSRG 序列之间关系:s n r q p ],,,,[ 与m n r n q n p n ],,,,[ ---为同一

序列,但相位不同。

例: n=4,表给出

]4,3[]4,1[反商

? 0 1 1 1 0 1 1 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 1 0 1 0 0 0 1 1 0 1 0 1 0 1 0 1 0 0 0 0 1 0 1 1 1 0 1 0 1 0 0 1 0 1 1 0 1 1 0 0 0 1 0 1 1 0 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 1 0 0 0 0 1 1 0 1 0 0 0 1 1 0 1 0 0 0 0 0 1 0 1 0 1 1 0 1 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 0 0 0 1 0 1 0 0 0 0 1 1 1 0 0 1 1 0 1 1 0 0 1 1 1 1 0 1 1 1 0 1 1 0 1

1

1

1

1

1 1 1 1

1 1 1 1

(a) s ]4,1[

(b) s ]4,3[

(c) m ]4,3[

可见,(a)与(c)为同一m 序列,但相移不同,二者与(b)码互为镜像。 从表中看出,反馈抽头的数目为偶数,不可为奇数。很容易理解,如为奇数则会静止在全1状态。 对于m 序列,它是线性码,只要知道长度为(2n+1)比特的一段码,即可得到该m 序列发生器的反馈逻辑,从而产生该m 序列,因而m 序列是很容易被破译的。当然加大n 可增加破译的难度。对于码分多址通信,要求有很大的码组供不同用户使用。为了使通信系统具有良好的捕获特性和抗干扰性,以及尽量减小信号间的干扰,要求这个庞大的码组中,每一码序列具有好的自相关特性(鉴别指数很大,任两个码序列间的互相关尽量小,尽可能接近于正交即互相关为0)。Gold 码的特性非常适合码分多址通信中的应用。 6.3 复合码 6.3.1 Gold 码

Gold 码是Gold 于1967年提出的,它是用一对优选的周期和速率均相同的m 序列

模二加后得到的。其构成原理如图6-7所示。

两个m 序列发生器的级数相同,即n n n ==21。如果两个m 序列相对相移不同,所得到的是不同的Gold 码序列。对n 级m 序列,共有12-n

个不同相位,所以通过模二加后可得到12-n

个Gold 码序列,这些码序列的周期均为12-n 。

Gold 码的性质:

(1) 12-n

个Gold 码与产生该Gold 码的两个m 序列一起构成由12+n

个不同码序列组成

的Gold 码家族,周期均为12-n

(2) 在一个Gold 码家族中,Gold 码序列的自相关旁瓣及任两个码序列之间的互相关值都

不超过该家族中的两个m 序列的互相关值,即

????

??

?

≠+=≈-++≤--+--+++)

2(44,012

)

2

22

1212

(12)(2

22)

2(2

12

1

2

)

1(2)1(n n n n

n n n n n n n k R 峰值比:整除即不能被模为偶数但峰值比:

为奇数

互相关同为65)。 归一化的标差=103(×××× 〕标差=45。

比较归一化标准差看出十一级的Gold 码比十级要好些。

图6-7 Gold 码发生器 Gold 码

21m m ⊕

Gold 码有三种不同的××××特性。

a)平衡码 1比0多一位 1的数目 0数目 相应Gold 码数

2n-1 2n-1 2n-1

+1(约一半) n 为奇数,直流分量比较大。

b)非平衡码(多) 2n-1+2(n-1)/2 2n-2-2(n-3)/2

c)非平衡码(少) 2n-1-2(n-1)/2 2n-2-2

(n-3)/2

—————

共计: 2n

+1 由于有些Gold 码1、0相差多于1位,这些Gold 码不是PN 码。

实验:若f(x)和g(x)为n 级本原多项式的优选对它们××××最大数的序列周期为2n

-1,且互相关××××满足

|R(k)|≤ 2n-1+1 n 为奇数

2n+2

+1 n 为偶数 ,但不能被4整除

则相应的乘积多相式f(x)g(x)将产生2n +1个不同的序列,每个周期为2n

-1,且任一对

码间的互相关满足上不等式。把这2n +1个码称为周期为2n

-1的Gold 码簇。 ××××该实验给出了Gold 码产生的另一种方法。

例:f(x)=1+x+x 6

g(x)= 1+x+x 2+x 6+x 8+x 11+x

12

h(x)= f(x)g(x) = 1+x 3+x 5+x 6+x 8+x 11+x

12

h(x)不是不可约的,不能产生 最大数m 序列。

6.3.2 快捕码

虽然m 序列具有很好的自相关特征(鉴别指数很大,为2n

)但不管对于码分多址通信还是测距,在一些情况下要求码捕获快时,还不够理想。对测距常要求码周期很长,减小模

糊距离,测距精度又要高,即Tc 很小,同步又要快,对m 序列,周期要求很长时,周期很长时同步时间一般也很长(用一般的远踞搜寻方法)。不能满足使用要求,为此一种组合码称为JPL 测距码,其构造特征非常适合与远距测距,码周期很长,但是同步很快。 6.3.2.1 JPL 码

Gold 码可由相同的m 序列模二加产生,如果两个周期长度是互质的,则可产生JPL 测距

码(Jef Propulsion Laboratory), 假定有三个长度分别为2n -1、2m -1、2p

-1且三个互质,则产生的JPL 码周期长为

(2n -1)*(2m -1)*(2p

-1),如图所示。

如用一般的方法,平均 码捕获时间为 ((2n -1)*(2m -1)*(2p

-1))/2 JPL 码捕获时间很短,JPL 码 的用途之一就是用于扩频码的快捕。 由于JPL 码的码长很长,如

511×1023=522753,如把很长的JPL

糊。

JPL 码的捕获过程如下:以包含两个分码为例。先用一个分码与组合码互相关,一旦一个分组码与嵌在组合码中的它的对应的分码实线同步时,就会使互相关值超过一定的门限,然后开始第二个分码的捕获。这里要注意,这里对JPL 码实现快捕是在信躁比较高时才能可靠实现。否则在SNR

较低时,必须通过增加积分时间才能提高信躁比,使捕获时间加

模二

长。我们曾经在卫星××××使用m=5,n=4构成的组合码,码周期为15×31=465主要目的是快捕。

思考题:由n 1=5,n 2=4构成JPL 码m,分别求m 1 m 2与m 的互相关函数和m 的自相关函数。 6.3.2-2

另有一种快捕码,能把平均捕获时间由传统的N/2减小到log 2N,这种码的构成如下: 设码周期为N 且N 为2的幂

n =log 2N ,则可构造N 个二进制的码,每个码为n 个码元

b j =(δ1j , δ2j , ?δn j ),j=1,2....N 其中δk

j =±1

b 1=(1 1 1 1)(δ11, δ21,δ31, δ4

1)

b 2=(-11 1 1)(δ12, δ22,δ32, δ4

2)

b 3=(1-1 1 1)(δ13, δ23,δ33, δ4

3) ... ...

b 16=(-1-1-1-1)(δ116, δ216,δ316, δ4

16) 其中快捕二进制序列为 x =(ξ1, ξ2, ξ3,... ξ16,)

其中ξi = 1 如 ××∑δi

j ≥0

-1 如 ∑δi

j <0 例 N =16,n=4 S 1 S 2 S 3 S 4 x b 1=1 1 1 1 1 b 2=-1 1 1 1 1 b 3=1 -1 1 1 1 b 4=-1-1 1 1 1 b 5=1 1 -1 1 1 b 6=-1 1 -1 1 1 b 7=1 -1 -1 1 1 b 8=-1 1 -1 1 -1 b 9=1 1 1 -1 1 b 10=-1 1 1 - 1 1 b 11=1 -1 1 -1 1 b 12=-1-1 1 -1 -1 b 13=1 1 -1 -1 1 b 14=-1 1 -1 -1 -1 b 15=1 -1 -1 -1 -1 b 16=-1 -1 -1 -1 -1

上右列快捕码周期性地被传输(如在测距中)接收机的任务是找出快捕码的起始相位接收机通过几次相关检测就能完成找到序列的起始相位。方法是:依次用序列(1,-1,1,-1...-1),( 1,1,-1,-1...-1), ( 1,1,1,1...-1,...1,1,-1,-1-1-1-1-1-1), ( 1,1,-1,-1...-1-1-1-1-1-1-1-1),... S i =(δi 1, δi 2,… δi

N ),(i=1,2....n)...与快捕码求互相关值,不难看出S i 与x 互相关值较大,且对所有的S i 都是一样的,而且

与x 互相关值与S i 与x 互相关值相等,符号想反,归一化后为±ρ 首先用S 1=(1,-1,1,-1...-1)与x 求互相关,由于该序列的周期为2,如果接收的快捕序列与相位与S 1同,则出现较大的相差值为+ρ,如果x 相位与S 1不同,相关值为-ρ,即若相关值为+ρ,说明初始相位在1,3,5...中,相关值为-ρ,说明初始相位在2,4,6...中,通过一次判定就能去掉50%的可能相位。然后用S 2=(1,1,-1,-1...-1) 与x 求互相关,即若相关值为+ρ,说明初始相位在1,5,9...中,相关值为-ρ,说明初始相位在2,6,10...中,所以由二次求互相关又可去掉剩下的50%的可能的相位,依次类推 最后从两个可能的相位中选取一个,所以××××为n =log 2N ,可以证明 S i 与x 互相关值为:

ρ= 1/2n-1

(××××) 为奇数

S i

1/2n (××××)为偶数

对N=16,n=4 ρ=××××=0.375

若n很大,则ρ=××××

现以N=16,n=4为例看相关过程,

x=(-1,1,1,1,-1,1,-1,-1,-1,1,1,1,1,1,1,1)

S1=( ,-1,1,-1, 1,-1, 1,-1, 1,-1, 1,-1, 1,-1, 1,-1)R s1=(5-11)/16=-0.375→

起始相位为偶数。

S2=(-1, ,1,-1,-1,1, 1,-1,-1,1, 1,-1,-1,1,1,-1 ) R s2=(11-5)/16=0.375→

起始相位为2,6,10...14,在4,8,12相关值为-0.375

S3=(-1, ,1,1,1,-1,- 1,-1,-1,1, 1,1,1,-1,-1,-1 ) R s3=(11-5)/16=-0.375→

起始相位为2, 10...

S4=(-1, ,1,1,1,1, 1,1,1,-1,- 1,-1,-1,-1,-1, ) R s2=(11-5)/16=-0.375→

起始相位为10。

在上面结果中显然

ρ <1,在SNR 较小时不易判定准确,为此必须增加积分时间,这就使得不获时间增长,但是总的捕获时间比起传统的顺序搜索还是小。当然,如SNR比较高,用快捕码可以大大缩短捕获时间,特别是N 较大时效果更加明显,这种分析对两种快捕码都适用。由于快捕码××××随机性差,所以抗干扰能力有所下降,这是付出的代价。

直接序列扩频通信

MATLAB仿真直接序列扩频通信 1.摘要 直接序列扩频通信系统(DS-CDMA)因其抗干扰性强、隐蔽性好、易于实现码分多址(CDMA)、抗多径干扰、直扩通信速率高等众多优点,而被广泛应用于许多领域中。针对频通信广泛的应用,本文用MATLAB工具箱中的SIMULINK通信仿真模块和MATLAB函数对直接序列扩频通信系统进行了分析和仿真,使其更加形象和具体。 关键字:扩频通信m序列gold正交序列matlab仿真 2.引言 直接序列扩频(DSSS— Direct Sequence Spread Spectrum)技术是当今人们所熟知的扩频技术之一。这种技术是将要发送的信息用伪随机码(PN码)扩展到一个很宽的频带上去,在接收端,用与发端扩展用的相同的伪随机码对接收到的扩频信号进行相关处理,恢复出发送的信息。 它是二战期间开发的,最初的用途是为军事通信提供安全保障, 是美军重要的无线保密通信技术。这种技术使敌人很难探测到信号。即便探测到信号,如果不知道正确的编码,也不可能将噪声信号重新汇编成原始的信号。有关扩频通信技术的观点是在1941年由好莱坞女演员Hedy Lamarr 和钢琴家George Antheil提出的。基于对鱼雷控制的安全无线通信的思路,他们申请了美国专利#2.292.387。不幸的是,当时该技术并没有引起美国军方的重视,直到十九世纪八十年代才引起关注,将它用于敌对环境中的无线通信系统。 直序扩频解决了短距离数据收发信机、如:卫星定位系统(GPS)、3G移动通信系统、WLAN (IEEE802.11a, IEEE802.11b, IEE802.11g)和蓝牙技术等应用的关键问题。扩频技术也为提高无线电频率的利用率(无线电频谱是有限的因此也是一种昂贵的资源)提供帮助。 3.直接序列扩频DS-SS是直接用具有高码率的扩频码序列在发送端去扩展信 号的频谱。而在收端,用相同的扩频码序列去进行解扩,把展宽的扩频信号还原成原始的信息。

伪随机码生成器

M序列发生器 M序列是最常用的一种伪随机序列,是一种线性反馈移位寄存器序列的简称。带线性反馈逻辑的移位寄存器设定各级寄存器的初试状态后,在时钟的触发下,每次移位后各级寄存器状态都会发生变化。其中一级寄存器(通常为末级)的输出,随着移位寄存器时钟节拍的推移会产生下一个序列,称为移位寄存器序列。他是一种周期序列,周期与移位寄存器的级数和反馈逻辑有关。 以4级移位寄存器为例,线性反馈结构如下图: 4级以为寄存器反馈图 其中a4=a1+a0

信号a4:a0禁止出现全0,否则将会出现全0,序列不变化。实验仿真 Code: library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity random_4 is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC;

din : in STD_LOGIC_VECTOR (3 downto 0); dout : out STD_LOGIC_VECTOR (3 downto 0); load : in STD_LOGIC); end random_4; architecture Behavioral of random_4 is signal rfsr :std_logic_vector(3 downto 0); --signal temp:std_logic; begin process(clk,reset,load,din) begin if (reset ='1') then rfsr <=(others =>'0'); elsif (clk' event and clk='1') then if(load ='1') then ----load =1 rfsr<= din; else rfsr(3) <= rfsr(0) xor rfsr(1); rfsr(2 downto 0) <= rfsr(3 downto 1); end if; end if; end process; ------signal rename----

直接序列扩频系统设计

扩频通信技术实现方法的研究和设计 ——DS直接序列扩频 专业:通信工程 班级:2002级1班 姓名:佟岩

引言 3 1扩频通信系统 6 1.1扩展频谱通信的定义 6 1.2扩频通信的理论基础 6 1.3扩频通信的主要性能指标8 1.4扩频通信的主要特点10 1.5频谱扩展的实现和直接序列扩频13 1.6扩频系统需要满足以下几个条件1 7 1.7扩频通信特征17 2直序扩频通信系统 18 2.1直序扩频通信系统框图18 2.2直接序列扩频信号的产生原理18 2.3直接序列扩频原理20 2.4直接序列扩频信号的实现方法21 3用编程来实现直序扩频通信系统23 3.1直接序列扩频系统与PSK调制23 3.2信号解调 24 3.3差错概率 26 4实验28 4.1 Monte Carlo仿真28 4.2 SIMULINK仿真30 结论 36 致谢 37 参考文献 38 附录1直扩程序M-文件40 附录2直扩-SIMULINK动态仿真模框图43

扩频通信技术(简称扩频通信)是一种新兴的高科技通信技术,具有大容量、抗干扰、低截获功率等特点以及可实现码分多址(CDMA)等优点,在军事和民用通信系统中都得到了广泛的应用,并成为下一代移动通信的技术基础。在扩频通信系统中,直序扩频的应用最为广泛。首先介绍扩频通信的基本原理及组成,重点论述了直序扩频通信在通信系统中的使用。 MATLAB因具有强大的数学计算、算法推导、建模仿真和图形绘制等功能而广泛应用于各领域,本文利用MATLAB的M语言进行编程、仿真,从而对CDMA无线通信系统的性能进行了分析。 在此基础上,通过实例介绍了建立系统仿真模型的方法。利用MATLAB 软件对CDMA无线通信系统的性能进行了分析。可见利用MATLAB/SIMULINK进行系统仿真简单、方便、形象、具体,是系统仿真较好软件之一。 关键词: 直序扩频通信系统;PN序列产生器;误码率;仿真;MATLAB;干扰

(完整版)伪随机序列的毕业设计

摘要 本毕业设计主要介绍了两种常用的反馈移位寄存器序列(m序列和Gold序列)的特性,并对其进行仿真研究。 伪随机序列良好的随机性和接近于白噪声的相关函数,使其易于从信号或干扰中分离出来。伪随机序列的可确定性和可重复性,使其易于实现相关接收或匹配接收,因此有良好的抗干扰性能。伪随机序列的这些特性使得它在伪码测距、导航、遥控遥测、扩频通信、多址通信、分离多径、数据加扰、信号同步、误码测试、线性系统、各种噪声源等方面得到了广泛的应用,特别是作为扩频码在CDMA系统中的应用已成为其中的关键问题。 在本论文中首先简要阐述了伪随机序列的研究现状及其相关意义,接着介绍了伪随机序列的发展历史,研究方法和研究工具。然后分别对m序列和Gold序列这两种常用的伪随机序列的生成过程、随机特性以及相关特性进行了详细的研究,并分析它们的优点以及存在的问题。最后在理论证明的基础上应用MATLAB仿真验证它们的随机特性,并用仿真做出m 序列和Gold序列相关特性图形并加以比较。 关键词:伪随机序列;m序列;Gold序列;相关;

ABSTRACT Matlab software used extensively in many engineering fields due to its strong operation fanction. To expanding or compressing the signal spectrum in spread spectrum system,the signal is generally multipled by a spread Spectrum sequence. The character of spread spectrum sequence significantly affects the communication quality. In all PN sequences, m-sequence and Gold-sequence are often used asspread spectrum sequence. In this paper, the brief introduction of the theory, property and constructing means of the two sequences are given first, and the generation and analysis of them by programming with M language in MATLAB are given later. The simulation results show the correctness and feasibility of this method.The simple and intuitive method is convenient for the engineering personnel. KEYWORDS:PN sequence; Sequence; Spread spectrum sequence 毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除

伪随机码发生器设计

伪随机码发生器设计 1 引言 随着科学技术的进步,现代战争样式向信息战形式发展。现代战争胜负对于信息获取的依赖程度前所未有的提高。在现代战争中,若己方的通讯交流方式早敌军破获,则地方将获取己方部队动向或实施信息干扰。将会使部队陷入极其危险地境地中。因此,信息战对通讯加密手段的要求极高。 伪随机序列(Pseudonoise Sequence)又称伪噪声或伪随机码,具有类似随机信号的一些统计特性,但又是有规律的,容易产生和复制的。最大长度线性移位寄存器序列(m序列)是保密通信中非常重要的一种伪随机序列,它具有随机性、规律性及较好的自相关和互相关性,而且密钥量很大。利用m序列加密数字信号,使加密后的信号在携带原始信息的同时具有伪噪声的特点,以达到在信号传输的过程中隐藏信息的目的;在信号接收端,再次利用m序列加以解密,恢复出原始信号。这样,通过对m序列的应用,将大大的提高通讯的保密程度和防窃取能力。这样的通讯手段被称为扩展频谱通信 扩展频谱通信(Spread Spectrum Communication)是将待传送的信息数据被伪随机编码也就是扩频序列调制,实现频谱扩展以后再在信道中传输,接收端则采用与发送端完全相同的编码进行解调和相关处理,从而恢复出原始的信息数据。在这其中,伪随机码发生器是十分重要的一环,是对信息加密的核心器件。m序列伪随机码发生器即使通过m序列的方式对信息数据编码。 本系统所设计的伪随机码发生器,产生m序列伪随机码。系统采用AT89S51单片机作为控制芯片,控制使用LCD12864显示处理器产生的m序列伪随机码,并且可通过按键对参数修改,设置初始码及m 序列长度。单片机根据设定的初始码及m序列长度,按照约定的逻辑运算关系,循环往复的产生0或者1。 2 发生器系统设计 2.1总体设计 系统分为信息处理、实时显示和按键修改共五大模块。 系统总体结构框图如图1所示:

基于matlab的直接序列扩频通信系统仿真

基于MATLAB的直接序列扩频通信系统仿真 1.实验原理:直接序列扩频(DSSS)是直接利用具有高码率的扩频码系列采用各种调 制方式在发端与扩展信号的频谱,而在收端,用相同的扩频码序去进行解扩,把扩展宽的扩频信号还原成原始的信息。它是一种数字调制方法,具体说,就是将信源与一定的PN码(伪噪声码)进行摸二加。例如说在发射端将"1"用11000100110,而将"0"用00110010110去代替,这个过程就实现了扩频,而在接收机处只要把收到的序列是11000100110就恢复成"1"是00110010110就恢复成"0",这就是解扩。这样信源速率就被提高了11倍,同时也使处理增益达到10DB以上,从而有效地提高了整机倍噪比。 1.1 直扩系统模型 直接序列扩频系统是将要发送的信息用伪随机码(PN码)扩展到一个很宽的频带上去,在接收端用与发送端相同的伪随机码对接收到的扩频信号进行相关处理,恢复出发送的信号。对干扰信号而言,与伪随机码不相关,在接收端被扩展,使落入信号通频带的干扰信号功率大大降低,从而提高了相关的输出信噪比,达到了抗干扰的目的。直扩系统一般采用频率调制或相位调制的方式来进行数据调制,在码分多址通信中,其调制多采用BPSK、DPSK、QPSK、MPSK等方式,本实验中采取BPSK方式。 直扩系统的组成如图1所示,与信源输出的信号a(t)是码元持续时间为Ta的信息流,伪随机码产生器产生伪随机码c(t),每个伪随机码的码元宽度为Tc (Tc<

直接序列扩频通信系统的误码率仿真培训讲学

直接序列扩频通信系统的误码率仿真

直接序列扩频通信系统的误码率仿真 1.引言 扩展频谱通信系统是将基带信号的频谱扩展至很宽的频带上,然后再进行 传输的一种通信系统,即将待传送的信息数据用伪随机编码调制,实现频谱扩展后再传输,接收端则采用同样的编码进行解调及相关处理,恢复原始信息数据。 扩频通信的基础理论根据信息论中的shannon 公式 ) (N S B C /1log 2+= 式中,C 是系统的信道容量,B 是系统信道带宽,N 是噪声功率,S 为信号的功率,S/N 即为信噪比。 Shannon 公式表明了一个系统信道无误差的传输信息的能力与存在于信道中的信噪比以及用于传输信息的系统信道带宽之间的关系。该公式说明了两个极为重要的概念:一是在一定的信道容量条件下,可以用减少发送信号功率、增加带宽的方法来达到信道容量的要求;另一个是可以采用减少带宽而增加信号功率的方法来达到信道容量的要求。这也就说明了信道容量可以通过带宽与信噪比的互换来保持不变。在实际的工程应用中,改变信号的功率并不容易,相比较而言,扩展信号的带宽更容易操作,所以,要提高信道容量,采用增加信号的带宽比提高信号功率的方法要有效的多。 由于扩频通信系统可以在信号功率远低于噪声功率的环境中工作,因此扩 频通信系统具有抗干扰能力强,保密性强等优点,在现在通信领域内的应用越 来越广泛。 2.系统概述 本次仿真实验是以MATLAB 为仿真平台,信号是8位双极性二进制信号,由 1和-1组成。随后对产生的双极性信号进行时域抽样,得到基带信号s ,是一组1024位的信息码。伪随机序列由mgen 函数产生,共有1024个码元。对已得到的基带信号进行扩频调制,直接把基带信号S 与产生的伪随机序列相乘,得到扩频信号。然后对已作扩频处理的信号作BPSK 载波调制,得到发射信号。发射信号通过存在高斯白噪声的信道,到达接到端,接收端首先对信号进

实验一 伪随机码发生器实验

实验一伪随机码发生器实验 电科1103 杨帆 3110104337 一、实验目的 1、掌握伪随机码的特性。 2、掌握不同周期伪随机码设计。 3、用基本元件库和74LS系列元件库设计伪随机码。 4、了解ALTERA公司大规模可编程逻辑器件EPM7128SLC84内部结构和应用。 5、学习FPGA开发软件MAXPLUSⅡ,学习开发系统软件中的各种元件库应用。 6、熟悉通信原理实验板的结构。 二、实验仪器 1、计算机一台 2、通信基础实验箱一台 3、100MHz示波器一台 三、实验原理 伪随机码是数字通信中重要信码之一,常作为数字通信中的基带信号源; 扰码;误码测试;扩频通信;保密通信等领域。伪随机码的特性包括四个方 面: 1、由n级移位寄存器产生的伪随机序列,其周期为-1; 2、信码中“0”、“1”出现次数大致相等,“1”码只比“0”码多一个; 3、在周期内共有-1游程,长度为i 的游程出现次数比长度为i+1的游程出现 次数多一倍; 例如:四级伪码产生的本原多项式为X 4 +X 3+1。 利用这个本原多项式构成的4级伪随机序列发生器产生的序列为: 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 四、实验内容及步骤 1、在MAXPLUSⅡ设计平台下进行电路设计 1.1 四级伪随机码发生器电路设计 电路原理图如图1-2所示。

在MAXPLUS II 环境下输入上述电路,其中:dff ------ 单D触发器 xor ------ 二输入异或门 nor4 ------ 四输入或非门 not ------ 反相器 clk ------ 时钟输入引脚(16M时钟输入) 8M ------ 二分频输出测试点引脚 nrz ------ 伪随机码输出引脚

直接序列扩频通信系统开题报告

哈尔滨工业大学华德应用技术学院毕业设计(论文)开题报告 题目:直接序列扩频通信系统的设计与仿真实现 系(部)应用电子与通信技术 专业通信工程 学生薛光宇 学号24 班号0992222 指导教师周凯 开题报告日期2012.10,22 哈工大华德学院

说明 一、开题报告应包括下列主要内容: 1.通过学生对文献论述和方案论证,判断是否已充分理解毕业设计(论文)的内容和要求 2.进度计划是否切实可行; 3.是否具备毕业设计所要求的基础条件。 4.预计研究过程中可能遇到的困难和问题,以及解决的措施; 5.主要参考文献。 二、如学生首次开题报告未通过,需在一周内再进行一次。 三、开题报告由指导教师填写意见、签字后,统一交所在系(部)保存,以备检查。指导教师评语: 指导教师签字:检查日期:

一、课题题目和课题研究现状 课题题目:直接序列扩频通信系统的设计与仿真实现。 研究现状:目前扩频技术中研究最多的对象是CDMA技术,其中又以码捕获技术和多用户检测(MUD)技术代表了目前扩频技术研究的现状。 1.码捕获 同步的实现是直扩系统中一个关键问题。只有在接收机将本地产生的伪码和接收信号中调制信息的伪码实现同步以后,才有可能实现直序扩频通信的各种优点。同步过程分为两步来实现:首先是捕获阶段,实现对接收信号中伪码的粗跟踪;然后是跟踪阶段,实现对伪码的精确跟踪。目前的研究主要集中在码捕获过程。 2, 多用户检测 CDMA系统容量受到来自其他用户的多址干扰的限制,多用户检测能够利用这些多址干扰来改善接收机的性能,因此是一种提高系统容量的有效方法。传统的CDMA 接收机是由一系列单用户检测器组成,每个检测器都是与特定扩频码对应的相关器,它并没有考虑多址干扰的结构,而是把来自其它用户的干扰当成加性噪声,因此当用户数量增加时,其性能急剧下降。通过对所有用户的联合译码可以极大地改善CDMA系统的性能。但是最优的多用户接收机,其复杂度随用户数量成指数增长,因此在实际通信系统中几乎不可能实现。这样寻找在性能和复杂度之间折中的次最优多用户检测器成为研究的热点 二、目的及意义 通过对该课题的研究,了解科研学术论文的撰写流程,并且将自己所学的理论知识运用到论文中,全面多角度的分析该领域的发展现状,同时提高自己的思维能力,对搜集的数据进行恰当处理和准确分析,对大学本科四年学习成果进行有效的检验,并且进一步提高自学能力和自主进行科学研究的水平。 三、课题的基本内容 所谓直接序列扩频(DS),就是直接用具有高速率的扩频码序列在发送端去扩展信号的频谱。而接收端,用相同的扩频码序列进行解扩,把展宽的扩频信号还原成原始信息。

扩频系统使用的伪随机码PN码

第六章 扩频系统使用的伪随机码(PN 码) 在扩展频谱系统中,常使用伪随机码来扩展频谱。伪随机码的特性,如编码类型,长度,速度等在很大程度上决定了扩频系统的性能,如抗干扰能力,多址能力,码捕获时间。 6.1 移位寄存器序列 移位寄存器序列是指由移位寄存器输出的由“1”和“0”构成的序列。相应的时间波形是指由“1”和“-1”构成的时间函数,如图6-1所示。 图6-1 (a )移位寄存器序列 (b )移位寄存器波形 移位寄存器序列的产生如图6-2 。主要由移位寄存器和反馈函数构成。移位寄存 器内容为),,,(21n x x x f 或1,反馈函数的输入端通过系数与移位寄存器的各级状态相联()(1)(0通或断=i c )输出通过反馈线作为1x 的输入。移位寄存器在时钟的作用下把反馈函数的输出存入1x ,在下一个时钟周期又把新的反馈函数的输出存入1x 而把原1x 的内容移入2x ,依次循环下去,n x 不断输出。 根据反馈函数对移位寄存器序列产生器分类: (1) 线性反馈移位寄存器序列产生器(LFSRSG ):如果),,(1n x x f 为n x x ,,1 的模2加。 (2) 非线性反馈移位寄存器序列产生器(NLFSRSG ):如果),,(1n x x f 不是n x x ,,1 的 模2加。 例1: LFSRSG :n=4,4314321),,,(x x x x x x x f ⊕⊕= (a) 图6-2 移位寄存器序列生成器

共16个不同状态,1111,0000为死态,每个状态只来自一个前置态。 例2: LFSRSG :n=4,4143214321),,,(,1,0,0,1x x x x x x f c c c c ⊕===== 设初态为:1,1,1,14321====x x x x ,则移位寄存器状态转移图如下:

基于MATLAB的伪随机序列发生器的设计

通信工程专业 计算机课程设计 题目基于MATLAB的伪随机序列发生器的设计 学生姓名学号 所在院(系) 专业班级 指导教师 完成地点 2013年 11 月 12 日

计算机课程设计任务书 院(系) 专业班级学生姓名 一、课程设计题目基于matlab的伪随机序列发生器的设计 二、课程设计工作自 2013 年 10 月 27 日起至 2013 年 11 月 22 日止 三、课程设计进行地点: 四、课程设计的内容要求: 1、要求完成七级m 序列发生器的设计(本原多项式自定); 2、要求完成五级gold 序列发生器的设计(本原多项式自定); 3、采用matlab实现,并对其进行调试运行; 4、要求能清楚观察到稳定的序列。 指导教师系(教研室) 通信工程系 接受任务开始执行日期 2013年10月27日学生签名 基于matlab的伪随机序列发生器的设计

[摘要]伪随机序列码越来越受到人们的重视,被广泛用于导弹、卫星、飞船轨道测量和跟踪、雷达、导航、移动通信、保密通信和通信系统性能的测量以及数字信息处理系统中。本文主是对基于matlab的伪随机序列发生器的设计,及其利用matlab软件对其进行仿真和利用simulink对其仿真性能的研究,主要阐述了扩频系统中m序列和gold序列的产生。在第一部分中介绍了课题研究的背景,第二部分中介绍了扩频系统的相关知识,第三部分介绍了m序列和Gold序列产生的原理和方法,第四部分利用matlab和simulink对其进行仿真。 [关键词]伪随机序列 m序列移位寄存器 Design of the pseudo-random sequence generator based on matlab

伪随机数列发生器-TsouShih

8位伪随机数列发生器 天津工业大学理学院 XXX XXXXXXX 2011年12月09日

背景 如果一个序列,一方面它是可以预先确定的,并且是可以重复地生产和复制的;一方面它又具有某种随机序列的随机特性(即统计特性),我们便称这种序列为伪随机序列。因此可以说,伪随机序列是具有某种随机特性的确定的序列。它们是由移位寄存器产生确定序列,然而他们却具有某种随机序列的随机特性。因为同样具有随机特性,无法从一个已经产生的序列的特性中判断是真随机序列还是伪随机序列,只能根据序列的产生办法来判断。伪随机序列系列具有良好的随机性和接近于白噪声的相关函数,并且有预先的可确定性和可重复性。这些特性使得伪随机序列得到了广泛的应用,特别是在CDMA系统中作为扩频码已成为CDMA技术中的关键问题。伪随机序列的特性对系统的性能有重要的影响,因此有必要了解和掌握伪随机序列的的概念和特性。 原理 伪随机数列的概念与特性 伪随机数列也称作PN码。它具有近似随机数列(噪声)的性质,它的相关函数接近白噪声的相关函数 (Δ函数 ),即有窄的高峰或宽的功率谱密度 ,使它易于从其他信号或干扰中分离出来。而又能按一定的规律(周期)产生和复制的序列。因为随机数列是只能产生而不能复制的,所以称其为“伪”随机数列。广泛应用于通信、雷达、导航等重要的技术领域。近年来 ,在自动控制、计算机、声学、光学测量、数字式跟踪和测距系统 ,以及数字网络系统的故障分析检测也得到广泛的应用。 伪随机数列具有这样的特点: (1)每个周期中,“1”码出现2n-1次,“0”码出现2n-1次,即0、1出现概率几乎相等。 (2)序列中连1的数目是n,连0的数目是n-1。 (3)分布无规律,具有与白噪声相似的伪随机特性。

伪随机序列

太原理工大学现代科技学院 移动通信技术课程实验报告 专业班级 学号 姓名 指导教师

实验名称 伪随机序列 同组人 专业班级 学号 姓名 成绩 一、 实验目的 掌握数字锁相环的组成、工作原理及在位同步恢复中的应用。 通过本实验掌握m 序列的特性、产生方法及应用。 通过本实验掌握Gold 序列的特性、产生方法及应用,掌握Gold 序列与m 序列的区别. 二、 实验内容 1、观察位同步电路信号波形及特性。 2、观察数字锁相环提取位同步的相位抖动 。 3、观察m 序列,识别其特征。 4、观察m 序列的自相关特性。 5、观察Gold 序列,识别其特征。 6、观察Gold 序列的自相关特性及互相关特性。 三、 实验原理 1数字基带信号本身是否含有位同步信息与其码型有密切关系。二进制基带信号中的位同步离散谱分量是否存在,取决于二进制基带矩形脉冲信号的占空比。若单极性二进制矩形脉冲信号的码元周期为T s ,脉冲宽度为τ,则NRZ 码的τ=T s ,则NRZ 码除直流分量外不存在离散谱分量,即没有位同步离散谱分量1/T s ;RZ 码的τ满足0<τ

专业实验类:伪随机信号发生器

H a r b i n I n s t i t u t e o f T e c h n o l o g y EDA技术高级应用 实验报告 姓名:禾小鬼 同组人: 学号:16S 班级:信息2班 指导教师:xxx 院系:电信学院

实验二伪随机信号发生器 一、实验原理 实验要求设计一个伪随机信号发生器,什么是伪随机信号发生器?如果一个序列,一方面它是可以预先确定的,并且是可以重复地生产和复制的;一方面它又具有某种随机序列的随机特性(即统计特性),我们便称这种序列为伪随机序列。因此可以说,伪随机序列是具有某种随机特性的确定的序列。它们是由移位寄存器产生确定序列,然而他们却具有某种随机序列的随机特性。因为同样具有随机特性,无法从一个已经产生的序列的特性中判断是真随机序列还是伪随机序列,只能根据序列的产生办法来判断。伪随机序列系列具有良好的随机性和接近于白噪声的相关函数,并且有预先的可确定性和可重复性。这些特性使得伪随机序列得到了广泛的应用,特别是在CDMA系统中作为扩频码已成为CDMA技术中的关键问题。特性为序列中两种元素出现的个数大致相等;如果把n个元素连续出现叫做一个长度为n的元素游程,则序列中长度为n的元素游程比长度为n+1的元素游程多一倍;序列有类似白噪声的自相关函数。 实验指导书上已经给出一个4位伪随机信号发生器的原理图,如图1所示,一个4位的移位寄存器,第1级和第4级的输出信号通过一个异或门反馈到第1级的输入。随着连续的时钟周期信号,可以生成15个不同的测试向量。 图1 伪随机二进制序列产生器 在开始之前,首先要明确设计目的,我们的想要用电路图方法实现设计一个“伪随机信号发生器”。首先设计一个4位伪随机信号发生器,按照图1所示连接电路即可。然后,扩展到多位。

直接序列扩频系统的Simulink仿真

直接序列扩频系统的Matlab/Simulink仿真 摘要:本文利用Matlab/Simulink对直接序列扩频系统进行了仿真,对其原理进 行了相关的说明。读者可以通过对本文的阅读对直接序列扩频的相关原理有一定的了解。 关键字:扩频通信直接序列扩频 一、仿真的意义 随着信息技术的发展,通信技术变得越来越复杂,技术更新的周期也越来越短。对于大部分学者,特别是我们学生来说,在学习通信技术时,若对每一个系统都要实体研究是不现实的。此时通信系统仿真对我们来说可以说是必不可少的。通过建立相应的通信系统的模型,对其进行仿真,可以使我们把琐碎的知识联系在一起,形成一个个通信系统的概念,可以让我们对各个知识点的原理有更加深刻的理解和掌握。 二、直接序列扩频的原理 扩频通信,即扩展频谱通信(Spread Spectrum Communication)是将待传送的信息数据用伪随机编码(扩频序列:Spread Sequence)调制,实现频谱扩展后再传输而接收端则采用相同的编码进行解调及相关处理,恢复原始信息数据。扩频通信具有抗干扰能力强、抗噪声、保密性强、功率谱密度低,具有隐蔽性和较低的截获概率、可多址复用和任意选址、高精度测量等优点。 根据扩展频谱方式的不同,可以将扩频通信系统分为直接序列扩频(Direct Sequence Spread Spectrum)工作方式,简称直扩(DS)方式;跳变频率(Frequency Hopping)工作方式,简称跳频(FH)方式;跳变时间(Time Hopping)工作方式,简称跳时(TH)方式;宽带线性调频(Chirp Modulation)工作方式,简称Chirp方式和各种混合方式。 直接序列(DS-Direct Scquency)扩频,就是直接用具有高码率的扩频码序列在发端去扩展信号的频谱,而在收端,用相同的扩频码序列去进行解扩,把展宽的扩频信号还原成原始的信息。直接序列扩频是扩频通信系统最基本的工作方式。 假设信源序列对应的双极性波形为a(t),其电平取值为±1 ,码元速率为Rabps,码元宽度为Ta=1/Ra/秒。扩频所使用的伪随机序列c(t)也是电平取值为±1 的双极性波形,伪随机序列(PN序列)的码元也称为码片(chip),码片速率设为Rcchip/s,对应的码片宽度就是Tc=1/Rc/秒。对于双极性波形而言,扩频过程等价于数据流a(t)与伪随机序列c(t)相乘的过程,扩频输出序列设为d(t),也是取值为±1 的双极性波形,其速率等于码片速率。扩频序列经过调制后得到调制输出信号s(t)送入信道。对于BPSK调制,发送的信号就相当于是数据流与伪随机序列相乘后再乘于一个高频的余弦信号。在接收端,接收到的信号中有包含了有用信号s(t)及各种干扰J(t)和噪声n(t)。由于接收端采用相关解扩,即将s(t)J(t)n(t)和本地PN序列c(t)相乘,只有有用信号的频谱能够被还原为窄带信号,其他的噪声和干扰的频谱只会被展宽,当信号通过窄带滤波器后只有一小部分被展宽了的频谱会混进有用信号中,由此大大增强了其抗干扰的能力。 三、仿真的系统与结果 此处是对直接序列扩频通信系统的仿真。假设该系统以BPSK方式调制,数

基于m序列的直接序列扩频

扩频通信实验 实验名称:基于m序列的直接序列扩频 专业班级:通信111501班 学生姓名:穆琦沈傲立孙琳王瑞学熊晓倩

学号:201115040111 13 16 20 27 指导教师:郑秀萍 时间:2014.10.29 1 需求分析 在通信发射端将载波信号展宽到较宽的频段上;在接收端,用同样的扩频码序列进行解扩和解调,把展宽的信号还原成原始信息.通过扩展频谱的相关处理,大大降低了频谱的平均能量密度,可在负信噪比条件下工作,获得了高处理增益,从而降低了被截获和检测的概率,避免了干扰影响.通过仿真模型结果分析抗噪声性能结果。 2 概要设计 扩频通信系统分为直接序列扩频系统、跳频扩频系统、跳时扩频系统和混合式扩频系统。直接序列扩频系统,又称“平均”系统或伪噪声系统,就是采用高码率的扩频码序列PN 码(伪随机码),在发送端与编码数据信号进行模2 加,产生一扩频序列,这一码序列由于码元很窄,占用了很宽的频带,达到扩频的目的,然后用扩频序列去调制载波并予以传输。在接收端接收到的扩频信号经高频放大混频之后,用与发端相同且同步的伪随机码对扩频信号进行相关解扩,由于收发端伪随机码的相关系数为1,故可以完全恢复所传的信息,而干扰和噪声由于与接收机伪

随机码不相关,在相关解调时大大降低进入信号通频带内的干扰。它是目前应用较广泛的一种扩展频谱系统。在国外已获得成功的空间探测器“喷气推进实验室(JPL)测距技术”就是一种直接序列调制,TATS-1 军用卫星中的扩展频谱多址(SSMA)系统等都使用DSSS。 直接序列扩频系统的接收一般采用相关接收,并分成两步,即解扩和解调。在接收端,接收信号经过数控振荡器放大混频后,用与发射端相同且同步的由M 序列发生器产生的伪随机码对中频信号进行相关解扩,把扩频信号恢复成窄带信号,然后再由基带滤波器进行解调,最后恢复出原始信息序列。扩频与解扩过程中,利用PN序列生成器模块( PN Sequence Generator ) ,产生6级、传输速率500b/s的PN伪随机序列来达到扩频和多址接入效果,这里扩频增益为50倍.扩频的运算是信息流与PN码相乘或模二加的过程.解扩的过程与扩频过程完全相同,即将接收的信号用PN码进行第二次扩频处理.要求使用的PN码与发送端扩频用PN码不仅码字相同,而且相位相同.否则会使有用信号自身相互抵消.解扩处理将信号压缩到信号频带内,由宽带信号恢复为窄带信号.同时将干扰信号扩展,降低干扰信号的谱密度,使之进入到信息频带内的功率下降,从而使系统获得处理增益,提高系统的抗干扰能力.调制与解调使用二相相移键控PSK方式. 为了方便分析, 我们可对系统作如下假设: 系统各用户同步;系统各用户功率相同;仅考虑系统MAI和白噪声干扰引起的误码, 忽略信号传输、调制解调过程中的误码。 3 开发工具和编程语言 开发工具:

实验一伪随机码发生器实验

实验一 伪随机码发生器实验 一、实验目的 1、 掌握伪随机码的特性。 2、 掌握不同周期伪随机码设计。 3、 用基本元件库和74LS系列元件库设计伪随机码。 4、 了解ALTERA公司大规模可编程逻辑器件EPM7128SLC84内部结构和应用。 5、 学习FPGA开发软件MAXPLUSⅡ,学习开发系统软件中的各种元件库应用。 6、 熟悉通信原理实验板的结构。 二、实验仪器 1、 计算机 一台 2、 通信基础实验箱 一台 3、 100MHz 示波器 一台 三、实验原理 伪随机码是数字通信中重要信码之一,常作为数字通信中的基带信号源;扰码;误码测试;扩频通信;保密通信等领域。伪随机码的特性包括四个方面: 1、 由n 级移位寄存器产生的伪随机序列,其周期为-1; n 2 2、 信码中“0”、“1” 出现次数大致相等,“1”码只比“0”码多一个; 3、 在周期内共有-1游程,长度为 i 的游程出现次数比长度为 i+1的 游程出现 次数多一倍; n 24、 具有类似白噪声的自相关函数,其自相关函数为: ()() ?? ? ?≤≤=??=2 210 12/11n n τττρ 其中n 是伪随机序列的寄存器级数。 例如:四级伪码产生的本原多项式为X 4+X 3+1。 利用这个本原多项式构成的4级伪随机序列发生器产生的序列为: 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 相应的波形图如图1-1所示:

图1-1 四级伪随机序列波形图 用4个D 触发器和一个异或门构成的伪码发生器具有以下特性: 1、 周期为24-1=15; 2、 在周期内“0”出现24 -1-1=7次,“1”出现24 -1=8次; 3、 周期内共有24 -1 =8个游程; 4、 具有双值自相关特性,其自相关系数为: ????≤≤??==2 21)12(10 14 4τ / τ ρ(τ) 四、实验内容及步骤 1、在MAXPLUSⅡ设计平台下进行电路设计 1.1 四级伪随机码发生器电路设计 电路原理图如图1-2所示。 图1-2 四级伪随机码电路原理图 在MAXPLUS II 环境下输入上述电路,其中: dff ------ 单D触发器 xor ------ 二输入异或门 nor4 ------ 四输入或非门 not ------ 反相器 clk ------ 时钟输入引脚(16M时钟输入) 8M ------ 二分频输出测试点引脚 nrz ------ 伪随机码输出引脚 1.2 实验电路编译及FPGA 引脚定义 完成原理图输入后按以下步骤进行编译: (1) 在Assign Device 菜单选择器件MAX7128SLC84。

直接序列扩频系统matlab仿真

直接序列扩频通信系统仿真 一、实验的背景及内容 1、直接扩频通信的背景 扩频通信,即扩展频谱通信(Spread Spectrum Communication),它和光纤通信、卫星通信,一同被誉为进入信息时代的三大高技术通信传输方式。 有关扩频通信技术的观点是在1941年由好莱坞女演员Hedy Lamarr和钢琴家George Antheil提出的。基于对鱼雷控制的安全无线通信的思路,他们申请了美国专利#2.292.387[1]。不幸的是,当时该技术并没有引起美国军方的重视,直到十九世纪八十年代才引起关注,将它用于敌对环境中的无线通信系统。解决了短距离数据收发信机、如:卫星定位系统(GPS)、移动通信系统、WLAN(IEEE802.11a, IEEE802.11b, IEE802.11g)和蓝牙技术等使用的关键问题。扩频技术也为提高无线电频率的利用率(无线电频谱是有限的因此也是一种昂贵的资源)提供帮助。 扩频通信技术自50年代中期美国军方便开始研究,一直为军事通信所独占,广泛使用于军事通信、电子对抗以及导航、测量等各个领域。直到80年代初才被使用于民用通信领域。为了满足日益增长的民用通信容量的需求和有效地利用频谱资源,各国都纷纷提出在数字峰窝移动通信、卫星移动通信和未来的个人通信中采用扩频技术,扩频技术现已广泛使用于蜂窝电话、无绳电话、微波通信、无线数据通信、遥测、监控、报警等等的系统中。 2、实验的内容及意义 本次实验主要研究了直接序列扩频系统,建立了直接序列扩频系统的matlab仿真模型,在信道中存在高斯白噪声和干扰的情况下,对系统的在不同扩频增益下的误码率性能进行了仿真及分析。 近年来,随着超大规模集成电路技术、微处理器技术的飞速发展,以及一些新型元器件的使用,扩频通信在技术上已迈上了一个新的台阶,不仅在军事通信中占有重要地位,而且正迅速地渗透到了个人通信和计算机通信等民用领域,成为新世纪最有潜力的通信技术之一因此研究扩频通信具有很深远的意义。本人通过此次实验,进行深入地研究学习扩频通信技术及对它进行仿真使用,将所学的知识进行归纳和总结,从而巩固通信专业基础知识,为以后的个人学习和工作打下基础。

伪随机序列

伪随机序列 扩频通信技术在发送端以扩频码进行扩频调制,在接收端以相关解扩技术进行收信,这一过程使其具有诸多优良特性,即抗干扰性能好、隐蔽性强、干扰小、易于实现码分多址等。 扩频调制即是将扩频码与待传输的基带数字信号进行模二叠加(时域相乘)。扩频调制后的信号还需经过载波调制后才可发送至信道。而接收端则采用相干解扩和解调,恢复出原始数据信息,以达到抑制干扰的目的。 扩频调制是通过伪随机码或伪随机序列来实现的。从理论上讲,用纯随机序列来扩展信号的频谱是最重要的,但是接收端必须复制同一个伪随机序列,由于伪随机序列的不可复制性,因此,在工程中,无法使用纯随机序列,而改为采用伪随机序列。 各类扩频通信系统都有伪随机编码序列,而且具有良好随机特性和相关特性的扩频编码对于扩频通信是至关重要的,对扩频通信的性能具有决定性的重要作用。在扩频通信系统中,抗干扰、抗截获、信息数据隐蔽和保密、多径保护和抗衰落、多址通信、实现同步捕获等都与扩频编码密切相关。能满足上述要求的扩频编码应具有如下的理想特性: (1)有尖锐的自相关特性; (2)有处处为零的互相关; (3)不同码元数平衡相等; (4)有足够的编码数量; (5)有尽可能大的复杂度。 m序列 m序列是最长线性移位寄存器序列的简称。顾名思义,m序列是由多级移位寄存器或其延迟元件通过线性反馈产生的最长的码序列。在二进制移位寄存器中,若n为移位寄存器的级数,n级移位寄存器共有2n个状态,除去全零状态外,还剩下2n-1种状态,因此它能产生最大长度的码序列为2n-1位。故m序列的线性反馈移位寄存器称做最长线性移位寄存器。 产生m序列的移位寄存器的电路结构,即反馈线连接不是随意的,m序列的

相关文档
最新文档