PRBS伪随机码

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

根据上表初始值和本原多项式可以生成相应参数的伪随机序列,伪随机序列生成流程图流程图如图:

n 号移位寄存器

n 号移位寄存器系数模2加法器

以PRBS4为例,本原多项式为X 4+X 3+1=0;初始值 0 0 0 1;则生成序列如表:

寄存器4数据位输出序列,构成伪随机序列,序列周期24-1。

相关文档
最新文档