实验六 MSI组合逻辑电路的逻辑功能测试

实验六 MSI组合逻辑电路的逻辑功能测试
实验六 MSI组合逻辑电路的逻辑功能测试

实验六MSI 组合逻辑电路的逻辑功能测试

一、实验目的

熟悉中规模全加器、译码器、数据选择器组件的逻辑功能、外形及外引线排列。

二、实验仪器与器材

1.XST-5B 数字电路实验装置、实验模板 2.集成电路:

74LS283、74LS138、74LS153、74LS151 3.导线若干、+5V 电源 三、预习要求

预习半加器、全加器、译码器、数据选择器的逻辑功能。 四、实验内容与步骤 1.全加器的逻辑功能测试

表6-1是全加器的真值表,其中i A ,i B 表示两个加数,1i C -表示来自低位的进位,i S ,i C 表示相加后得到的和及进位。

1i i i i S A B C -=⊕⊕ 1()i i i i i i C A B C A B -=⊕+

将全加器的输入端i A ,i B ,1i C -分别接逻辑电平,输出i S ,i C 接状态显示灯(LED ),按表6-1所列i A ,i B ,1i C -的状态,测试i S ,i C 的相应状态,将测试结果与表6-1进行比较。

2.译码器逻辑功能测试

表6-2是3线/8线译码器74LS138的真值表。按表中给定的输入状态。测试输出,将测得的结果与表6-2进行比较。

表6-2

3.数据选择器逻辑功能测试

①表6-3是4选1数据选择器74LS153的功能表,按表中给定的输入状态。测试输出,将测得的结果与表6-3进行比较。

表6-3

②八选一数据选择器74LS151功能测试(自己根据管脚排列和测试结果写出功能表及函数表达式)

五、实验报告

1、整理实验结果、图表,并对实验结果进行分析讨论。

2、写出各芯片的函数表达式。

3、总结本次实验体会。

组合逻辑电路的设计与测试

四、实验内容 1、设计用与非门及用异或门、与门组成的半加器电路。 要求按本文所述的设计步骤进行,直到测试电路逻辑功能符合设计要求为止。 解: 逻辑表达式:S= A 2、设计一个一位全加器,要求用异或门、与门、或门组成。 解: i C B A AB )(C C B A S o i ⊕+=⊕⊕= A B 0 00 11 01 1 0 01 01 01 1 S C A B S C 74LS08 74LS86 74LS08 A B C i 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 0 01 01 00 11 00 10 11 1 S C o A B C i CC4085

A B C i 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 0 01 01 00 11 00 10 11 1 S C o A B C i 5 6 3、设计一位全加器,要求用与或非门实现。 解: 11i 1-i i i 1-i i i i B A C B A C B A S --+++=i i i i i C B A C 1i 1-i i i i i A C B B A C -++=i C A i C B i 4、设计一个对两个两位无符号的二进制数进行比较的电路;根据第一个数是否大于、等于、小于第二个数,使相应的三个输出端中的一个输出为“1”,要求用与门、与非门及或非门实现。

解: A 0 B 0 A 1 B 1 B 74LS04六反相器入与门(1) 入与门(2) 五、实验预习要求 1、根据实验任务要求设计组合电路,并根据所给的标准器件画出逻辑图。 2、如何用最简单的方法验证“与或非”门的逻辑功能是否完好? 3、“与或非”门中,当某一组与端不用时,应作如何处理? 六、实验报告 1、列写实验任务的设计过程,画出设计的电路图。 2、对所设计的电路进行实验测试,记录测试结果。 1、组合电路设计体会。 A 0B 0A 0B 0A 0=B 0 A 1=A 1= B 1A 1=B 1010× A < B 001×A 1>F AB A 0A 1输出输入F A>B = (A 1>B 1) + (A 1=B 1)(A 0>B 0)F A=B =(A 1=B 1)(A 0=B 0) F A

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 A i B i C i S i C i+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 10 1 1 1 00 1 1 1 1 1 1 2)由表2-1全加器真值表写出函数表达式。

实验六 组合逻辑电路的设计与测试

实验六组合逻辑电路的设计与测试 1.实验目的 (1)掌握组合逻辑电路的设计方法; (2)熟悉基本门电路的使用方法。 (3)通过实验,论证所设计的组合逻辑电路的正确性。 2.实验设备与器材 1)数字逻辑电路实验箱,2)万用表,3)集成芯片74LS00二片。 3.预习要求 (1)熟悉组合逻辑电路的设计方法; (2)根据具体实验任务,进行实验电路的设计,写出设计过程,并根据给定的标准器件画出逻辑电路图,准备实验; (3)使用器件的各管脚排列及使用方法。 4.实验原理 数字电路中,就其结构和工作原理而言可分为两大类,即组合逻辑电路和时序逻辑电路。组合逻辑电路输出状态只决定于同一时刻的各输入状态的组合,与先前状态无关,它的基本单元一般是逻辑门;时序逻辑电路输出状态不仅与输入变量的状态有关,而且还与系统原先的状态有关,它的基本单元一般是触发器。 (1)组合电路是最常用的逻辑电路,可以用一些常用的门电路来组合完成具有其他功能的门电路。设计组合逻辑电路的一般步骤是: 1)根据逻辑要求,列出真值表; 2)从真值表中写出逻辑表达式; 3)化简逻辑表达式至最简,并选用适当的器件; 4)根据选用的器件,画出逻辑电路图。 逻辑化简是组合逻辑设计的关键步骤之一。为了使电路结构简单和使用器件较少,往往要求逻辑表达式尽可能化简。由于实际使用时要考虑电路的工作速度和稳定可靠等因素,在较复杂的电路中,还要求逻辑清晰易懂,所以最简设计不一定是最佳的。但一般来说,在保证速度、稳定可靠与逻辑清楚的前提下,尽量使用最少的器件,以降低成本。 (2)与非门74LS00芯片介绍 与非门74LS00一块芯片内含有4个互相独立的与非门,每个与非门有二个输入端。其逻辑表达式为Y=AB,逻辑符号及引脚排列如图6-1(a)、(b)所示。 (a)逻辑符号(b)引脚排列 图6-1 74LS20逻辑符号及引脚排列 (3)异或运算的逻辑功能 当某种逻辑关系满足:输入相同输出为“0”,输入相异输出为“1”,这种逻辑关系称为“异或”逻辑关系。 (4)半加器的逻辑功能 在加法运算中,只考虑两个加数本身相加,不考虑由低位来的进位,这种加法器称为半加器。 5.实验内容 (1)用1片74LS00与非门芯片设计实现两输入变量异或运算的异或门电路 要求:设计逻辑电路,按设计电路连接后,接通电源,验证运算逻辑。输入端接逻辑开关输出插口,以提供“0”与“1”电平信号,开关向上,输出逻辑“1”,向下为逻辑“0”;电路的输出端接由LED发光二极管组成的0-1指示器的显示插口,LED亮红色为逻辑“1”,亮绿色为逻辑“0”。接线后检查无误,通电,用万用表直流电压20V档测量输入、输出的对地电压,并观察输出的LED颜色,填入表6-1。

实验一、(仿真)组合逻辑电路的设计与测试--振宇

实验一、组合逻辑电路的设计与测试 一、实验目的 1、学会查阅数字芯片数据手册,掌握集成芯片的逻辑功能,了解芯片主要参数。 2、熟悉常用仪器如函数发生器,台式数字万用表及数字示波器的使用方法,熟悉电压、电流等参数测量。 3、掌握组合逻辑电路的设计与测试方法。 4、认识竞争冒险现象,加深对竞争冒险现象产生的理解,学会消除竞争冒险。 二、实验仪器 直流稳压电源 、面包板及插线、数字示波器、台式数字万用表、函数信号发生器及相关芯片:74LS00、74LS20、74LS86、74LS04、 74LS02 、74LS08、发光二极管和少量阻容器件。三、数字电路实验步骤 1、查阅与实验相关芯片资料,从.21icsearch.下载芯片数据手册。 2、列表,列出相关标准参数。 3、测试方案设定,画出电路原理图,并用multisim10软件进行功能仿真测试。 如何设计电路实现题设要求的逻辑功能,选择哪款芯片?考虑仪器、供电电源等各种误差,如何能测量准确? 4、在实验室面包板上搭建系统、调试电路,测试逻辑功能,测量数据,绘制波形,并进行误差分析。 5、按要求完成实验报告 四、实验任务 1、查阅实验过程中所用芯片技术手册,给出相关技术指标和逻辑功能真值表,画出芯片物理与逻辑引脚图。 2、验证74LS00的逻辑功能,自行设计电路测试 V OL 、V OH 、 I CCL 、I CCH 等参数。 低电平输出电源电流I CCL 和高电平输出电源电流I CCH 说明: 芯片处于不同的工作状态,电源提供的电流是不同的。以与非门为例,I CCL 是指所有输入端悬空,输出端空载时,芯片输出低电平时电源提供器件的电流。I CCH 是指输出端空载,每个门各有一个以上的输入端接地,其余输入端悬空,芯片输出高电平时电源提供给器件的电流。通常I CCL >I CCH ,它们的大小标志着器件静态功耗的大小。器件的最大功耗为P CCL =V CC I CCL 。手册中提供的电源电流和功耗值是指整个器件总的电源电流和总的功耗。 引脚图: 7400芯片物理与逻辑引脚图:

门电路功能测试及组合逻辑电路设计

实验报告门电路功能测试及组合逻辑电路设计 实验题目:门电路功能测试及组合逻辑电路设计 实验目的: (1)掌握常用门电路的逻辑功能及测试方法; (2)掌握用小规模集成电路设计组合逻辑电路的方法。 实验仪器及器材: 数字电路实验箱一个;双踪示波器一台;稳压电源一台;数字万用表一个。 74LS00一片;74LS10一片;74LS20一片。 实验内容: 实验一:对74LS00进行功能测试 ○1.静态测试 (1)A、B都为低电平,输出结果为高电平 (2)A为低电平,B为高电平或A为高电平,B为低电平时,输出结果为高电平

(3)A、B均为高电平,输出结果为低电平 实验结论:测试结果与74LS00逻辑功能功能表相同。○2动态测试 电路的逻辑表达式:F=ˉVK 分析: 当K为0时,示波器的A通道是V的波形,为方波信号,B通道是F的波形,为高电平(一条直线); 当开关闭合后,K=1,B通道应该是与V波形刚好相反的波形;小灯泡也是一闪一闪的状态。 实验的电路图

实验现象: 开关断开: 示波器的显示:

开关闭合后,小灯泡开始一闪一闪,示波器波形如下图: 现象分析:实验所得现象与预先分析的实验结果一样。比较输入与输出的波形,发现输出F的波形与V的波形刚好相反,但是F波形的最大值较V的最大值偏小,究其原因,这属于正常现象,因为输出会有损失。 实验结论:所得到的波形符合功能要求。 实验2 实验目的:分析一个电路的逻辑功能 实验器材:74LS00、74LS10各一片 F=AB*BC*AC,所以F的结果应为以下表格:

实验结论:实验结果与预期的一样,符合该电路的逻辑功能表达式 实验三 实验目的:设计一个控制楼梯电灯的开关控制器,逻辑功能为课本表2-1-5的真值表。 实验原理分析:根据电路所实现的真值表,可以得出输出Y的逻辑表达式: Y=AB*AB 实验电路及现象: 1.A=1,B=0;A=0,B=1,时灯泡发光; 2.A=B=0或1时,灯泡不发光

组合逻辑电路实验

实验一基本门电路的功能和特性及组合逻辑电路实验(2学时) 实验目的及要求:掌握常用的集成门电路的逻辑功能与特性;掌握各种门电路的逻辑符号;了解集成电路的外引线排列及其使用方法;学习组合逻辑电路的设计及测试方法。 实验题目:部分TTL门电路逻辑功能验证及组合逻辑电路设计之全加器或全减器。 实验二数值比较器、数据选择器(3学时) 实验目的及要求:掌握数值比较器和数据选择器的逻辑功能;学习组合逻辑电路的设计及测试方法。用7486和7400、7404搭出一位数值比较器,画出其设计逻辑电路图,并验证它的运算;用74153选择器实现多数据表决器,要求3个输入中有2个或3个为1时,输出Y为高电平,否则Y为低电平。画出电路图并简述实现原理。用7400、7404、7432实现该多数表决器。 实验题目:组合逻辑电路设计之数值比较器和数据选择器 实验三计数器的应用(3学时) 实验目的及要求:掌握集成二进制同步计数器74161的逻辑功能;掌握任意进制计数器的构成方法;学习时序逻辑电路的设计及测试方法。用74161搭建一个60进制计数器电路,并将结果输出到7段数码管显示出来,画出其设计逻辑电路图并验证它的功能。 实验题目:时序逻辑电路设计之计数器的应用 74LS00: QUAD 2-INPUT NAND GATE

74LS04: HEX INVERTER 74LS32:Quad 2-Input OR Gates

74LS74: Dual Positive-Edge-Triggered D Flip-Flops with Preset, Clear and Complementary Outputs 74LS153: Dual 4-Input Multiplexer with common select inputs and individual enable inputs 74LS161: Synchronous 4-Bit Binary Counters

数电实验二组合逻辑电路

数电实验二组合逻辑电路 The following text is amended on 12 November 2020.

实验二 组合逻辑电路 一、实验目的 1.掌握组和逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及器件 1.仪器:数字电路学习机 2.器件:74LS00 二输入端四与非门 3片 74LS86 二输入端四异或门 1片 74LS54 四组输入与或非门 1片 三、实验内容 1.组合逻辑电路功能测试 (1).用2片74LS00按图连线,为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 (2).图中A 、B 、C 接电平开关,Y1、Y2接发光管电平显示 (3).按表要求,改变A 、B 、C 的状态,填表并写出Y1、Y2的逻辑表达式。 (4).将运算结果与实验比较。 Y1=A+B ,C B B A Y +=2 2.测试用异或门(74LS86)和与非门组成的半加器的 逻辑功能。 根据半加器的逻辑表达式可知,半加器Y 是A 、B 的 异或,而进位Z 是A 、B 相与,故半加器可用一个集成异 或门和二个与非门组成,如图。 (1).用异或门和与非门接成以上电路。输入A 、B 接 电平开关,输出Y 、Z 接电平显示。 (2).按表要求改变A 、B 状态,填 表。 3.测试全加器的逻辑功能。 (1).写出图电路的逻辑表达式。 (2).根据逻辑表达式列真值表。 (3).根据真值表画逻辑函数SiCi 的卡诺图。 (4).连接电路,测量并填写表各输入 输出 A B C Y1 Y2 0 0 0 0 0 0 0 1 0 1 0 1 1 1 1 1 1 1 1 0 1 1 0 1 0 1 0 0 1 0 1 0 1 1 1 0 1 0 1 1 输入 输出 A B Y Z 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 A i B i C i-1 Y Z X 1 X 2 X 3 S i C i 0 0 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 0 1 0 0 1 0 1 0 1 1 0 1 1 0 0 0 1 1 1 0 1 0 0 1 0 1 1 1 0 1 0 0 1 1 1 1 0 1 1 0 1

实验一组合逻辑电路设计

实验一 组合逻辑电路的设计 一、实验目的: 1、 掌握组合逻辑电路的设计方法。 2、 掌握组合逻辑电路的静态测试方法。 3、 加深FPGA 设计的过程,并比较原理图输入和文本输入的优劣。 4、 理解“毛刺”产生的原因及如何消除其影响。 5、 理解组合逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、组合逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 通常组合逻辑电路可以用图1.1所示结构来描述。其中,X0、X1、…、Xn 为输入信号, L0、L1、…、Lm 为输出信号。输入和输出之间的逻辑函数关系可用式1.1表示: 2、组合逻辑电路的设计方法 组合逻辑电路的设计任务是根据给定的逻辑功能,求出可实现该逻辑功能的最合理组 合电路。理解组合逻辑电路的设计概念应该分两个层次:(1)设计的电路在功能上是完整的,能够满足所有设计要求;(2)考虑到成本和设计复杂度,设计的电路应该是最简单的,设计最优化是设计人员必须努力达到的目标。 在设计组合逻辑电路时,首先需要对实际问题进行逻辑抽象,列出真值表,建立起逻辑模型;然后利用代数法或卡诺图法简化逻辑函数,找到最简或最合理的函数表达式;根据简化的逻辑函数画出逻辑图,并验证电路的功能完整性。设计过程中还应该考虑到一些实际的工程问题,如被选门电路的驱动能力、扇出系数是否足够,信号传递延时是否合乎要求等。组合电路的基本设计步骤可用图1.2来表示。 3、组合逻辑电路的特点及设计时的注意事项 ①组合逻辑电路的输出具有立即性,即输入发生变化时,输出立即变化。(实际电路中 图 1.1 组合逻辑电路框图 L0=F0(X0,X1,···Xn) · · · Lm=F0(X0,X1,···Xn) (1.1) 图 1.2 组合电路设计步骤示意图图

MSI组合电路逻辑功能测试

实验五 MSI 组合电路逻辑功能测试 一、实验目的 1.会正确测试全加器、编码器、译码器、数据选择器等组合逻辑功能模块的逻辑功能,并能正确描述。 2.了解组合逻辑功能模块的工作特点。 二、实验仪器与器材 1.XST-5B 数字电路实验装置、实验模板 2.集成电路74LS148、74LS138、74LS151等。 3.导线若干、+5V 电源 三、预习要求 预习半加器、全加器、编码器、译码器、数据选择器、数值比较器的逻辑功能。 四、实验原理 中规模的器件,如译码器、数据选择器等,它们本身是为实现某种逻辑功能而设计的,但由于它们的一些特点,我们也可以用它们来实现任意逻辑函数。 1.全加器 全加器--考虑低位进位数的两个一位二进制数的加法运算逻辑电路。二进制全加器的输入有加数Ai ,被加数Bi ,来自低位的进位数Ci-1;输出也有两个,分别是和数Si 和进位数Ci 。 表5-1是全加器的真值表,其中i A ,i B 表示两个加数,1i C -表示来自低位的进位,i S ,i C 表示相加后得到的和及进位。 1i i i i S A B C -=⊕⊕ (i C =

表5-1 全加器真值表 2.编码器 编码器是一种常用的组合逻辑电路,用于实现编码操作。编码操作就是将具体的事物或状态表示成所需代码的过程。按照所需编码的不同特点和要求,编码器主要分成二类:普通编码器和优先编码器。 普通编码器:电路结构简单,一般用于产生二进制编码。包括:a.二进制编码器:如用门电路构成的4—2线,8—3线编码器等。 b.二一十进制编码器:将十进制的0~9编成BCD码, 优先编码器:当有一个以上的输入端同时输入信号时,普通编码器的输出编码会造成混乱。为解决这一问题,需采用优先编码器。如8线—3线集成二进制优先编码器74LS148、10线—4线集成BCD码优先编码器74LS147等。 表5-2 8线3线编码器功能表 3.译码器 译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给

第六章-几种常用的组合逻辑电路试题及答案

第六章几种常用的组合逻辑电路 一、填空题 1、(8-1易)组合逻辑电路的特点是:电路在任一时刻输出信号稳态值由决定(a、该时刻电路输入信号;b、信号输入前电路原状态),与无关(a、该时刻电路输入信号;b、信号输入前电路原状态),属于(a、有;b、非)记忆逻辑电路。 2、(8-2易)在数字系统中,将具有某些信息的符号变换成若干位进制代码表示,并赋予每一组代码特定的含义,这个过程叫做,能实现这种 功能的电路称为编码器。一般编码器有n个输入端,m个输出端,若输入低电平有效,则在任意时刻,只有个输入端为0,个输入端为1。对于优先编码器,当输入有多个低电平时,则。 3、(8-3易,中)译码是的逆过程,它将转换成。译码器有多个输入和多个输出端,每输入一组二进制代码,只有个输出端有效。n 个输入端最多可有个输出端。 4、(8-2易)74LS148是一个典型的优先编码器,该电路有个输入端和个输出端,因此,又称为优先编码器。 5、(8-4中)使用共阴接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器;使用共阳接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器,这样才能显示0~9十个数字。 6、(8-4中)译码显示电路由显示译码器、和组成。 7.(8-4易)译码器分成___________和___________两大类。 8.(8-4中)常用数字显示器有_________,_________________,____________等。 9.(8-4中)荧光数码管工作电压_______,驱动电流______,体积_____,字形清晰美观,稳定可靠,但电源功率消耗______,且机械强度_____。 10.(8-4中)辉光数码管管内充满了_________,当它们被______时,管子就发出辉光。 11.(8-4易)半导体发光二极管数码管(LED)可分成_______,_______两种接法。 12.(8-4中)发光二极管正向工作电压一般为__________。为了防止二极管过电流而损坏,使用时在每个二极管支路中应______________。 13.(8-3中)单片机系统中,片内存储容量不足需要外接存储器芯片时,可用_________作高位地址码。 14.(8-3中)数字系统中要求有一个输入端,多个数据输出端,可用_________输入端作为

组合逻辑电路设计实验报告

组合逻辑电路设计实验报告 1.实验题目 组合电路逻辑设计一: ①用卡诺图设计8421码转换为格雷码的转换电路。 ②用74LS197产生连续的8421码,并接入转换电路。 ③记录输入输出所有信号的波形。 组合电路逻辑设计二: ①用卡诺图设计BCD码转换为显示七段码的转换电路。 ②用74LS197产生连续的8421码,并接入转换电路。 ③把转换后的七段码送入共阴极数码管,记录显示的效果。 2.实验目的 (1)学习熟练运用卡诺图由真值表化简得出表达式 (2)熟悉了解74LS197元件的性质及其使用 3.程序设计 格雷码转化: 真值表如下:

卡诺图: 1 010100D D D D D D G ⊕=+= 2 121211D D D D D D G ⊕=+=

3232322D D D D D D G ⊕=+= 33D G = 电路原理图如下: 七段码显示: 真值表如下: 卡诺图:

2031020231a D D D D D D D D D D S ⊕++=+++= 10210102b D D D D D D D D S ⊕+=++= 201c D D D S ++= 2020101213d D D D D D D D D D D S ++++= 2001e D D D D S +=

2021013f D D D D D D D S +++= 2101213g D D D D D D D S +++= 01213g D D D D D S +⊕+= 电路原理图如下:

4.程序运行与测试 格雷码转化: 逻辑分析仪显示波形:

实验二组合逻辑电路的设计与测试

实验二组合逻辑电路的设计与测试 一、实验目的 1、掌握组合逻辑电路的设计方法及功能测试方法。 2、熟悉组合电路的特点。 二、实验原理 1、使用中、小规模集成电路来设计组合电路是最常见的逻辑电路。设计组合电路的一 般步骤如图2 —1所示。 图2—1组合逻辑电路设计流程图 根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化 简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的 逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 2 、组合逻辑电路设计举例 用“与非”门设计一个表决电路。当四个输入端中有三个或四个为“1”时,输出端才为“ 1”。'\ /设计步骤:根据题意列出真值表如表2—1所示,再填入卡诺图表2 —2中。 表2—

、1110 \DA BC、\0001 000000 01001\ 0 110111 100010 由卡诺图得出逻辑表达式,并演化成“与非”的形式 Z = ABO BCH ACDF ABD =ABC BCD ACDABC 根据逻辑表达式画出用“与非门”构成的逻辑电路如图2- 2所示。 A B C B C D A C D A B D 图2 —2表决电路逻辑图 用实验验证该逻辑功能 在实验装置适当位置选定三个14P插座,按照集成块定位标记插好集成块CC4012按图2 —2接线,输入端A、B、C D接至逻辑开关输出插口,输出端Z接逻辑电平显示输入插口,按真值表(自拟)要求,逐次改变输入变量,测量相应的输出值,验证逻辑功能,与表2—1进行比较,验证所设计的逻辑电路是否符合要求。 三、实验设备与器件 1 、 + 5V直流电源2、逻辑电平开关 3 、逻辑电平显示器4、直流数字电压表 5、CC4011X 2 ( 74LS00)CC4012 X 3 (74LS20)CC4030 (74LS86) CC4081 (74LS08)74LS54 X 2(CC4085)CC4001 (74LS02)

实验一 组合逻辑电路的设计与测试教学提纲

实验一组合逻辑电路的设计与测试 一、实验原理 根据设计任务的要求建立输入、输出变量,并列出真值表;然后用逻辑电路代数或卡诺图化简法求出简化的逻辑表达式并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。最后,验证设计的正确性。 二、实验目的 掌握组合逻辑电路的设计与测试方法。 三、实验设备与器件 1、+5V直流电源 2、逻辑开关 3、逻辑电平显示器 4、直流数字电压表 5、CC4011×2(74LS00) CC4012×3(74LS20) CC4030(74LS86) CC4081(74LS08) 74LS54×2(CC4085) CC4001(74LS02) 四、实验内容 1、设计用与非门及异或门、与门组成的半加器电路。 (1)真值表如下表

(2) 简化逻辑表达式为 S⊕ = A = + B A B A B C= AB (3)逻辑电路图如下 2、设计一个一位全加器,要求用异或门、与门、或门实现。 用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 (1)列出真值表如下表。其中Ai、Bi、Ci分别为一个加数、另一个加数、低位向本位的进位;Si、Ci+1分别为本位和、本位向高位的进位。

1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 (2)由全加器真值表写出函数表达式。 (3)将上面两逻辑表达式转换为能用四2输入异或门(74LS86)和四2输入与非门(74LS00)实现的表达式。 (4)画出逻辑电路图如下图,并在图中标明芯片引脚号。按图选择需要的集成块及门电路连线,将Ai 、Bi 、Ci 接逻辑开关,输出Si 、Ci+1接发光二极管。改变输入信号的状态验证真值表。 3、设计一位全加器,要求用与或非门实现。 解: 11i 1-i i i 1-i i i i B A C B A C B A S --+++=i i i i i C B A C Θ

实验六 MSI组合逻辑电路的逻辑功能测试

实验六MSI 组合逻辑电路的逻辑功能测试 一、实验目的 熟悉中规模全加器、译码器、数据选择器组件的逻辑功能、外形及外引线排列。 二、实验仪器与器材 1.XST-5B 数字电路实验装置、实验模板 2.集成电路: 74LS283、74LS138、74LS153、74LS151 3.导线若干、+5V 电源 三、预习要求 预习半加器、全加器、译码器、数据选择器的逻辑功能。 四、实验内容与步骤 1.全加器的逻辑功能测试 表6-1是全加器的真值表,其中i A ,i B 表示两个加数,1i C -表示来自低位的进位,i S ,i C 表示相加后得到的和及进位。 1i i i i S A B C -=⊕⊕ 1()i i i i i i C A B C A B -=⊕+ 将全加器的输入端i A ,i B ,1i C -分别接逻辑电平,输出i S ,i C 接状态显示灯(LED ),按表6-1所列i A ,i B ,1i C -的状态,测试i S ,i C 的相应状态,将测试结果与表6-1进行比较。

2.译码器逻辑功能测试 表6-2是3线/8线译码器74LS138的真值表。按表中给定的输入状态。测试输出,将测得的结果与表6-2进行比较。

表6-2 3.数据选择器逻辑功能测试 ①表6-3是4选1数据选择器74LS153的功能表,按表中给定的输入状态。测试输出,将测得的结果与表6-3进行比较。

表6-3 ②八选一数据选择器74LS151功能测试(自己根据管脚排列和测试结果写出功能表及函数表达式) 五、实验报告 1、整理实验结果、图表,并对实验结果进行分析讨论。 2、写出各芯片的函数表达式。 3、总结本次实验体会。

实验三组合逻辑电路

实验三组合逻辑电路(常用门电路、译码器和数据选择器) 一、实验目的 1.掌握组合逻辑电路的设计方法 2.了解组合逻辑电路的冒险现象与消除方法 3.熟悉常用门电路逻辑器件的使用方法 4.熟悉用门电路、74LS138和74LS151进行综合性设计的方法 二、实验原理及实验资料 (一)组合电路的一般设计方法 1.设计步骤 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单逻辑电路,这就是设计组合逻辑电路时要完成的工作。组合逻辑电路的一般设计步骤如图所示。 图组合逻辑电路的一般设计步骤 设计组合逻辑电路时,通常先将实际问题进行逻辑抽象,然后根据具体的设计任务要求列出真值表,再根据器件的类型将函数式进行化简或变换,最后画出逻辑电路图。 2. 组合电路的竞争与冒险(旧实验指导书P17~20) (二)常用组合逻辑器件 1.四二输入与非门74LS00 74LS00为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有四个独立的二输入“与非”门,每个门的构造和逻辑功能相同。 图 74LS00引脚排列及内部逻辑结构 2.二四输入与非门74LS20

74LS20为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有两个独立的四输入“与非”门,每个门的构造和逻辑功能相同。 图 74LS20引脚排列及内部逻辑结构 3.四二输入异或门74LS86 74LS86为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有四个独立的二输入“异或”门,每个门的构造和逻辑功能相同。 图 74LS86引脚排列及内部逻辑结构 3.3线-8线译码器74LS138 74LS138是集成3线-8线译码器,其功能表见表。它的输出表达式为 i A B i Y G G G m 122(i =0,1,…7;m i 是最小项),与基本门电路配合使用,它能够实现任何三变量的逻辑函数。74LS138为双列直插16脚塑料封装,外部引脚排列如图所示。

组合逻辑电路的设计与测试

数字电子技术 实验报告 (大数据学院)实验名称:实验二:组合逻辑电路的设计与测试专业班级: 学生姓名: 学生学号: 指导教师:

实 验 地 点: 实 验 日 期: 2019.12.7 实验组成员姓名: 贵州理工学院实验报告 实验项目名 称 组合逻辑电路的设计与测试 实验目的 掌握组合逻辑电路的设计与测试方法 实验原 理 1、 使用中、小规模集成电路来设计组合电路是最常见的逻辑电路。设计组合电路的一般步骤如图2-1所示。 图2-1 组合逻辑电路设计流程图 根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或 卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。 根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 2、 组合逻辑电路设计举例 用“与非”门设计一个表决电路。当四个输入端中有三个或四个为“1”时,输出端才为“1”。 设计步骤:根据题意列出真值表如表2-1所示,再填入卡诺图表2-2中。 表1-1

D 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 A 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 C 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 Z 0 0 0 0 0 0 0 1 0 0 0 1 0 1 1 1 表2-2 DA BC 00 01 11 10 00 01 1 11 1 1 1 10 1 由卡诺图得出逻辑表达式,并演化成“与非”的形式 Z =ABC +BCD +ACD +ABD =ABC ACD BCD ABC ??? 根据逻辑表达式画出用“与非门”构成的逻辑电路如图2-2所示。 图2-2 表决电路逻辑图 用实验验证逻辑功能 在实验装置适当位置选定三个14P 插座,按照集成块定位标记插好集成块CC4012。 按图2-2接线,输入端A 、B 、C 、D 接至逻辑开关输出插口,输出端Z 接逻辑电平显示输入插口,按真值表(自拟)要求,逐次改变输入变量,测量相应的输出值,验证逻辑功能,与表2-1进行比较,验证所设计的逻辑电路是否符合要求。 3. 半加器实现原理

实验一组合逻辑电路设计(含门电路功能测试)

实验一组合逻辑电路设计(含门电路功能测试) 一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 数字电路试验箱双踪示波器稳压电源数字多用表 74LS20 二4输入与非门 74LS00 四2输入与非门 74LS10 三3输入与非门 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。

下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

组合逻辑电路实验报告

组合逻辑电路实验报告

图6-1:O型静态险象 如图6-1所示电路 其输出函数Z=A+A,在电路达到稳定时,即静态时,输出F 总是1。然而在输入A变化时(动态时)从图6-1(b)可见,在输出Z的某些瞬间会出现O,即当A经历1→0的变化时,Z出现窄脉冲,即电路存在静态O型险象。 进一步研究得知,对于任何复杂的按“与或”或“或与”函数式构成的组合电路中,只要能成为A+A或AA的形式,必然存在险象。为了消除此险象,可以增加校正项,前者的校正项为被赋值各变量的“乘积项”,后者的校正项为被赋值各变量的“和项”。 还可以用卡诺图的方法来判断组合电路是否存在静态险象,以及找出校正项来消除静态险象。 实验设备与器件 1.+5V直流电源 2.双踪示波器 3.连续脉冲源 4.逻辑电平开关 5.0-1指示器

(3)根据真值表画出逻辑函数Si、Ci的卡诺图 (4)按图6-5要求,选择与非门并接线,进行测试,将测试结果填入下表,并与上面真值表进行比较逻辑功能是否一致。 4.分析、测试用异或门、或非门和非门组成的全加器逻辑电路。 根据全加器的逻辑表达式

全加和Di =(Ai⊕Bi)⊕Di-1 进位Gi =(Ai⊕Bi)·Di-1+Ai·Bi 可知一位全加器可以用两个异或门和两个与门一个或门组成。(1)画出用上述门电路实现的全加器逻辑电路。 (2)按所画的原理图,选择器件,并在实验箱上接线。(3)进行逻辑功能测试,将结果填入自拟表格中,判断测试是否正确。 5.观察冒险现象 按图6-6接线,当B=1,C=1时,A输入矩形波(f=1MHZ 以上),用示波器观察Z输出波形。并用添加校正项方法消除险象。

实验二(新版)组合逻辑电路(一)

电子科技大学中山学院学生实验报告 系别:机电工程学院专业:自动化课程名称:数字逻辑设计及应用实验 成绩:教师签名:批改时间: 1.实验目的与要求 通过实验,能够掌握加法器和数据选择器的原理和应用。 2.实验设备 ●硬件:PC机一台 数字电路实验教学平台一台 ●软件:Quartus II 集成开发环境 3.实验内容 (1) 运用7483实现4位以内二进制加法; (2) 利用比较器(7485)实现4位二进制数的比较。 4.实验预习要求 仔细阅读课本第五章的加法器和数码比较器,理解加法器和数码比较器的原理和功能。 5.实验原理 (1) 7483是具有先行进位功能的4位进制全加器,7483的逻辑符号如图2.1所示。实现2个3位二进制数相加,只要将2个加数分别置于A2A1A0和B2B1B0,并将A3、B3和C0置“0”,相加的结果是4位以内的二进制数,在S3S2S1S0上输出,输出结果通过4个LED灯显示。在实验过程2个加数A2A1A0和B2B1B0,可以通过V CC或者GND设置成高电平或者低电平,也可以通过拨码开关设置加数。 图2.1 7483 逻辑符号

(2) 数码比较器简称比较器,用于比较2个数的大小,并给出“大于”、“小于”和“等于”三种比较结果。2个多位进制数比较大小的典型方法是从高位开始,逐位比较,若高位不同,则结果立现,不必再对低位进行比较;若高位相等,则比较结果由低位的比较位的比较结果决定。如图2.2所示为采用并行比较结构的4位二进制数比较器7485的逻辑符号,其功能表如表2.1所示。 参加比较的2个4位二进数A2A1A0和B2B1B0可以通过VCC或者GND设置成高电平或者低电平,也可以通过拨码开关设置加数。结果可以通过接在ALBO、AEBO、AGBO 的LED灯亮暗状态反映出来。 图2.2 7485 逻辑符号 表2.1 7485 功能表

第六章_几种常用的组合逻辑电路试题及答案

1.(8-5中)设一位二进制半加器的被加数为A,加数为B,本位之和为S, 向高位进位为C,试根据真值表 1).写出逻辑表达式 2).画出其逻辑图。 真值表: 2.(8-5难)设一位二进制全加器的被加数为A i,加数为B i,本位之和为 S i,向高位进位为C i,来自低位的进位为C i-1,根据真值表 1).写出逻辑表达式 2).画出其逻辑图。 真值表:

3.(8-1难)分析图示逻辑电路: 1).列真值表 2).写出逻辑表达式 3).说明其逻辑功能。 =++,根据给出的4.(8-3难*)用一个74LS138译码器实现逻辑函数Y ABC ABC ABC 部分逻辑图完成逻辑图的连接。

6.(8-1难)试用2输入与非门和反向器设计一个3输入(I0、I1、I2)、3输出(L0、L1、L2)的信号排队电路。它的功能是:当输入I0为1时,无论I1和I2为1还是0,输出L0为1,L1和L2为0;当I0为0且I1为1,无论I2为1还是0,输出L1为1,其余两个输出为0;当I2为1且I0和I1均为0时,输出L2为1,其余两个输出为0。如I0、I1、I2均为0,则L0、L1、L2也均为0。 1).列真值表 2).写出逻辑表达式 3).将表达式化成与非式 4).根据与非式画出逻辑图 7.(8-1难)某个车间有红、黄两个故障指示灯,用来表示3台设备的工作情况。如一台设备出现故障,则黄灯亮;如两台设备出现故障,则红灯亮;如三态设备同时出现故障,则红灯和黄灯都亮。试用与非门和异或门设计一个能实现此要求的逻辑电路。 1).列真值表

2).写出逻辑表达式 3).根据表达式特点将其化成与非式,或者是异或式 4).根据化成的表达式画出逻辑图 9.(8-3难)请用3-8线译码器译码器和少量门器件实现逻辑函数 ()()∑=7630,,,,,m A B C F 。

实验一组合逻辑电路设计

电子信息工程晓旭 2011117147 实验一组合逻辑电路设计(含门电路功能测试) 一.实验目的 1掌握常用门电路的逻辑功能。 2掌握用小规模集成电路设计组合逻辑电路的方法。 3掌握组合逻辑电路的功能测试方法。 二.实验设备与器材 数字电路实验箱一个 双踪示波器一部 稳压电源一部 数字多用表一个 74LS20 二4 输入与非门一片 74LS00 四2 输入与非门一片 74LS10 三3 输入与非门一片 三 .实验任务 1对74LS00,74LS20逻辑门进行功能测试。静态测试列出真值表,动态测试画出波形图,并说明测试的门电路功能是否正常。 2分析测试1.7中各个电路逻辑功能并根据测试结果写出它们的逻辑表达式。 3设计控制楼梯电灯的开关控制器。设楼上,楼下各装一个开关,要求两个开关均可以控制楼梯电灯。 4某公司设计一个优先级区分器。该公司收到有A,B,C,三类,A,类的优先级最高,B 类次之,C类最低。到达时,其对应的指示灯亮起,提醒工作人员及时处理。当不同类的同时到达时,对优先级最高的先做处理,其对应的指示灯亮,优先级低的暂不理会。按组合逻辑电路的一般设计步骤设计电路完成此功能,输入输出高低电平代表到

实验一: (1)74LS00的静态逻辑功能测试 实验器材:直流电压源,电阻,发光二极管,74LS00,与非门,开关,三极管 实验目的:静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否 实验过程:将74LS00中的一个与非门的输入端A,B分别作为输入逻辑变量,加高低电平,观测输出电平是否符合真值表描述功能。 电路如图1: 图1 真值表1.1: 实验问题:与非门的引脚要连接正确,注意接地线及直流电源 实验结果:由二极管的发光情况可判断出74LS00 实现二输入与非门的功能 (2)71LS00的动态逻辑功能测试 实验器材:函数发生器,示波器,74LS00,与非门,开关,直流电压源 实验目的:测试74LS00与非门的逻辑功能 实验容:动态测试适合用于数字系统中逻辑功能的检查,测试时,电路输入串行数字

相关文档
最新文档