实验一 半加器全加器的设计
数字电子技术基础组合逻辑电路(半加器`全加器及逻辑运算)

一、实验目的
1. 掌握组合逻辑电路的功能测试。
2. 验证半加器和全加器的逻辑功能。
3. 学会二进制数的运算规律。
二、实验原理及其实验元件
实验原理:参照指导书对应内容结合自己理解写
实验箱、芯片(74LS00、74LS10、74LS54、74LS86)、导线。
三、实验内容及其步骤
数字电子技术基础
组合逻辑电路(半加器、全加器及逻辑运算)
[班级] [姓名] [学号]
[日期]
2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。
用一片(74LS86)和(74LS00)组成半加器。
3.测试用异或门、与或门和非门组成的全加
器的逻辑功能。
S
CO
设计性实验
设计一个“三个一至电路”。
电路有三个输入端,一个输出端。
当三个输入端变量A、B、C状态一致时,输出F为“1”;当三个变量状态不一致时,输出F为“0”。
(要求:用与非门组成电路。
)
步骤:
1)列真值表:
2)写出逻辑表达式:
()()
ABC
C
B
A
F⋅
=
3)画逻辑电路图:
A
B
C
F
4)验证:
所得实验结论与理论值相等,说明实验成功。
5)按下图连接实验电路。
A
B。
全加器与半加器原理及电路设计

全加器与半加器原理及电路设计全加器是一种电子逻辑电路,用于执行二进制加法。
它由三个输入端(A, B, Cin)和两个输出端(S, Cout)组成。
其中,输入端A和B是要相加的二进制位,Cin是前一位的进位,输出端S是和的结果,Cout是是否有进位。
全加器可以通过组合多个半加器来构建。
半加器是全加器的组成部分,它只有两个输入端(A, B)和两个输出端(S, Cout)。
半加器只能够完成一位二进制加法,不考虑进位情况。
其中,输入端A和B是要相加的二进制位,输出端S是和的结果,Cout是是否有进位。
半加器的电路设计相对简单,可以通过逻辑门实现。
接下来,我将详细介绍全加器和半加器的原理和电路设计。
1.半加器原理及电路设计:半加器的真值表如下:A ,B , S , Cout0,0,0,00,1,1,01,0,1,01,1,0,1可以看出,输出端S等于两个输入端A和B的异或结果,输出端Cout等于两个输入端A和B的与运算结果。
半加器的电路设计可以使用两个逻辑门实现。
一个逻辑门用于计算和的结果S,另一个逻辑门用于计算进位Cout。
S = A xor BCout = A and B逻辑门可以采用与门、或门和异或门实现。
常用的逻辑门包括与非门(NAND)和异或门(XOR)。
所以,半加器的电路设计可以使用两个与非门和一个异或门实现。
2.全加器原理及电路设计:全加器的真值表如下:A ,B , Cin , S , Cout0,0,0,0,00,0,1,1,00,1,0,1,00,1,1,0,11,0,0,1,01,0,1,0,11,1,0,0,11,1,1,1,1可以看出,输出端S等于三个输入端A、B和Cin的异或结果,输出端Cout等于输入端A、B和Cin的与运算结果和A和B的或运算结果的与运算结果。
全加器可以由两个半加器和一个或门组成。
其中,两个半加器用于计算S的低位和Cout的低位,而或门用于计算Cout的高位。
一位全加器的设计

一位全加器的设计一.实验目的1.掌握原理图输入设计。
2.利用一位半加器实现一位全加器。
二.实验原理1.一位半加器输入a,b;输出co,so;其中co为进位输出;so为和的输出;真值表如下图所示。
2.一位全加器真值表如下图所示。
三.实验内容1.以原理图输入作为设计输入,设计半加器。
2.利用设计好的半加器,实现全加器的设计。
3.通过仿真,观察设计的正确性。
4.仿真完成后,将原理图设计转换为VHDL文件。
四.设计提示仔细阅读真值表,思考如何将半加器设计为全加器。
五.实验报告要求1.写出原理图设计。
(半加器电路原理图)(全加器原理图)2.分析设计过程。
用两个半加器构成全加器。
3.记录仿真波形,保存生成的元件以及RTL,将原理图文件转变为VHDL文件。
(全加器仿真图)(符号元件)(全加器RTL)(全加器VHDL文件)LIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY work;ENTITY quan2 ISPORT(a : IN STD_LOGIC;b : IN STD_LOGIC;c : IN STD_LOGIC;ci : OUT STD_LOGIC;si : OUT STD_LOGIC);END quan2;ARCHITECTURE bdf_type OF quan2 ISCOMPONENT quanPORT(a : IN STD_LOGIC;b : IN STD_LOGIC;co : OUT STD_LOGIC;so : OUT STD_LOGIC);END COMPONENT;SIGNAL SYNTHESIZED_WIRE_0 : STD_LOGIC;SIGNAL SYNTHESIZED_WIRE_1 : STD_LOGIC;SIGNAL SYNTHESIZED_WIRE_2 : STD_LOGIC;BEGINb2v_inst : quanPORT MAP(a => a,b => b,co => SYNTHESIZED_WIRE_2,so => SYNTHESIZED_WIRE_0);b2v_inst1 : quanPORT MAP(a => SYNTHESIZED_WIRE_0,b => c,co => SYNTHESIZED_WIRE_1,so => si);ci <= SYNTHESIZED_WIRE_1 OR SYNTHESIZED_WIRE_2;END bdf_type;4.书写实验报告时要结构合理,层次分明,在分析描述的时候,注意语言的流畅。
半加器和全加器的设计

port (a,b :in std_logic;
c: out std_logic);
end component;
signal x:std_logic_vector(0 to 2);
begin
u1: h_adder1 port map(a,b,x(1),x(0));
u2: h_adder1 port map(x(1),cin,sum,x(2));
entity count10 is
port(clk,r,s :in std_logic;
data:in std_logic_vector(3 downto 0);
co :out std_logic;
q:buffer std_logic_vector(3 downto 0));
end count10;
u3: or23 port map(a=>x(0),b=>x(2),c=>cout);
end arch;
运行结果:
方法二:
运行结果:
实验二.四选一数据选择器的设计
1用case语句:
library ieee;
use ieee.std_logic_1164.all;
entity mux4_1ais
port(A,B,C,D :in std_logic_vector(3 downto 0);
entity dswq is
port(clk,dir : in std_logic;
q : buffer std_logic_vector(3 downto 0));
end;
architecture arch of dswq is
begin
process(clk,q,dir)
实验一:半加器与全加器实验

实验一:半加器与全加器实验(1)实验目的与任务目的:理解半加器、全加器原理;掌握加法器Verilog编写方法;熟悉基于Quartus II软件的Verilog代码文本输入设计流程。
任务:基于Quartus II软件和EDA实验箱完成全加器的设计、仿真与硬件测试。
(2)实验设备带有windows操作系统和Quartus II软件的PC机一台;EDA实验箱一台(包含电源线和下载线)。
(3)实验内容基于Quartus II软件使用Verilog HDL设计半加器与全加器,并进行仿真和硬件测试。
硬件测试方案:使用拨码开关SW1(被加数)、SW2(加数)和SW3(进位输入)作为输入,以发光二极管LED0(和)和LED1(进位输出)作为输出。
拨动SW1、SW2和SW3,LED0和LED1显示正确。
原理图:注意:原理图中的SW0、SW1、SW2应该为实验箱上的SW1、SW2、SW3。
(4)实验步骤①运行Quartus II软件,编写半加器和全加器的Verilog代码,并保存(半加器保存为h_adder.v,全加器保存为f_adder.v)。
②创建工程,工程名为f_adder,把h_adder.v和f_adder.v添加到工程中,选择目标芯片为Cyclone III系列的EP3C120F780C8,不使用第三方EDA工具。
③编译。
④使用Quartus II自带的仿真工具对全加器进行时序仿真(打开波形编辑器,设置仿真时间50us,波形文件存盘f_adder.vwf,将工程f_adder的端口信号节点选入波形编辑器中,总线数据格式设置和参数设置,编辑输入波形数据,启动仿真器,观察仿真结果)。
⑤引脚锁定。
⑥编译文件(产生JTAG编程文件f_adder.sof(掉电丢失);并通过转换得到JTAG间接编程文件f_adder_file.jic(掉电不丢失))下载,硬件测试,随意拨动实验箱中的SW1、SW2和SW3,观察LED0和LED1的变化。
实现全加器的实验报告

一、实验目的1. 理解全加器的原理和组成。
2. 掌握半加器、与门、或门等基本逻辑门电路的原理和特性。
3. 学习利用基本逻辑门电路构建全加器。
4. 通过实验加深对数字电路设计和实现过程的理解。
二、实验原理全加器是一种基本的数字电路,用于实现两个二进制数的加法运算。
它由两个半加器和两个与门、一个或门组成。
当两个加数位相加时,全加器可以产生一个和以及一个进位输出。
半加器(hadder)是全加器的基础单元,它由一个异或门(XOR)和一个与门(AND)组成。
异或门负责产生和输出,与门负责产生进位输出。
全加器的原理如下:- 当两个加数位相加时,若两者均为0,则输出和为0,进位为0。
- 若一个加数位为0,另一个为1,则输出和为1,进位为0。
- 若两者均为1,则输出和为0,进位为1。
三、实验设备及器材1. 数字电路实验箱2. 集成芯片(74LS00、74LS10、74LS54、74LS86)3. 导线4. 示波器5. 电源四、实验步骤1. 准备实验器材,搭建半加器电路。
(1)将74LS86(异或门)和74LS00(与门)插入实验箱。
(2)按照图1所示连接半加器电路。
(3)将A、B分别接入电平开关,Y、Z接入发光二极管显示。
(4)通电,观察Y、Z的亮灭情况,验证半加器的逻辑功能。
2. 构建全加器电路。
(1)按照图2所示连接全加器电路。
(2)将A、B、C分别接入电平开关,Y、Z接入发光二极管显示。
(3)通电,观察Y、Z的亮灭情况,验证全加器的逻辑功能。
3. 使用示波器观察全加器的输出波形。
(1)将示波器的探头分别连接到全加器的和输出端和进位输出端。
(2)改变A、B、C的输入值,观察示波器上的波形,分析全加器的逻辑功能。
五、实验结果与分析1. 半加器实验结果:当A、B的输入分别为0、1或1、0时,Y为1,Z为0;当A、B的输入均为0或均为1时,Y为0,Z为0。
验证了半加器的逻辑功能。
2. 全加器实验结果:当A、B、C的输入分别为0、0、0时,Y为0,Z为0;当A、B、C的输入分别为0、0、1时,Y为1,Z为0;当A、B、C的输入分别为0、1、0时,Y为1,Z为0;当A、B、C的输入分别为0、1、1时,Y为0,Z为1;当A、B、C的输入分别为1、0、0时,Y为1,Z为0;当A、B、C的输入分别为1、0、1时,Y为0,Z为1;当A、B、C的输入分别为1、1、0时,Y为0,Z为1;当A、B、C的输入分别为1、1、1时,Y为1,Z为1。
组合逻辑电路设计之全加器、半加器

班级姓名学号实验二组合电路设计一、实验目的(1)验证组合逻辑电路的功能(2)掌握组合逻辑电路的分析方法(3)掌握用SSI小规模集成器件设计组合逻辑电路的方法(4)了解组合逻辑电路集中竞争冒险的分析和消除方法二、实验设备数字电路实验箱,数字万用表,74LS00,74LS86三、实验原理1.组合逻辑概念通常逻辑电路可分为组合逻辑电路和时序逻辑电路两大类。
组合逻辑电路又称组合电路,组合电路的输出只决定于当时的外部输入情况,与电路的过去状态无关。
因此,组合电路的特点是无“记忆性”。
在组成上组合电路的特点是由各种门电路连接而成,而且连接中没有反馈线存在。
所以各种功能的门电路就是简单的组合逻辑电路。
组合电路的输入信号和输出信号往往不只一个,其功能描述方法通常有函数表达式、真值表,卡诺图和逻辑图等几种。
实验中用到的74LS00和74LS86的引脚图如图所示。
00 四2输入与非门Vcc4B4A4Y3B3A3Y Array 1A1B1Y2A2B2Y GND2.组合电路的分析方法。
组合逻辑电路分析的任务是:对给定的电路求其逻辑功能,即求出该电路的输出与输入之间的关系,通常是用逻辑式或真值表来描述,有时也加上必须的文字说明。
分析一般分为一下几个步骤:(1)由逻辑图写出输出端的逻辑表达式,简历输入和输出之间的关系。
(2)列出真值表。
(3)根据对真值表的分析,确定电路功能。
3.组合逻辑电路的设计方法。
组合逻辑电路设计的任务是:由给定的功能要求,设计出相应的逻辑电路。
一般设计的逻辑电路的过程如图:(1)通过对给定问题的分心,获得真值表。
在分析中要特别注意实际问题如何抽象为几个输入变量和几个输出变量直接的逻辑关系问题,其输出变量之间是否存在约束关系,从而过得真值表或简化真值表。
(2)通过卡诺图化简或逻辑代数化简得出最简与或表达式,必要时进行逻辑式的变更,最后画出逻辑图。
(3)根据最简逻辑表达式得到逻辑电路图。
四.实验内容。
1.分析,测试半加器的逻辑功能。
半加器全加器的工作原理和设计方法实验报告

半加器全加器的工作原理和设计方法实验报告
一、实验目的
1、了解数字电路的基本运算电路,如半加器和全加器。
二、实验器材
集成电路IC:74LS86、74LS83A、定时器CD4017
三、实验原理
1、半加器
半加器的功能是对两个二进制位的加法进行部分运算,即进行逐位相加,得到次位的进位信号和本位的和信号,半加器的运算法则如下:
• 0+0=0,S=0,C=0
其中,S为和信号,C为进位信号。
半加器的逻辑电路图如图1所示:
其中,传输门XOR gate为异或门,SUM为和信号输出端,CARRY为进位信号输出端。
2、全加器
图2. 全加器逻辑电路图
四、实验内容
将集成电路74LS86的引脚定义为X1、X2、不连、SUM、CARRY,输入进位信号CARRY 为不连,依次连接如图3所示,将本位输入信号接到X1和X2引脚上,再将SUM和CARRY 引脚接到示波器上,调节示波器显示参数,观察和进位信号输出情况。
将全加器的电路图按照原理图进行布线,如图4所示:
五、实验结果
将X1和X2输入信号分别输入1和0,观察示波器上和进位信号输出情况如图5所示:
图5. 半加器实验结果
该结果表明,1+0=1,和信号S=1,进位信号C=0,符合半加器的逻辑运算法则。
3、实验验证了半加器和全加器的逻辑运算法则和逻辑电路设计方法。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
实验一半加器和全加器EDA设计
一、实验目的
1.熟悉利用MAX+plusⅡ的原理图输入方法设计简单组合电路,掌握层次化设
计的方法;
2.通过半加器和全加器的设计把握利用原理图输入设计电子线路的详细流程。
二、实验原理
1.半加器只考虑两个1位二进制数相加,而不考虑低位进位数相加。
半加器的逻辑函数式为
式中A和B是两个相加的二进制数,So是半加和,Co是向高位的进位数。
表1为半加器真值表。
半加器真值表:
a b s
o c o
0 0 0 0
0 1 1 0
1 0 1 0
1 1 0 1
半加器的卡诺图
2.全加器除了两个1位二进制数相加以外,还与低位向本位的进位数相加。
式中,A
i 和B
i
是两个相加的1为二进制数,C
i-1
是由相邻低位送来的进位数,
S I 是本位的全加和,C
I
是向相邻高位送出的进位数。
下为全加器的真值表:全加器真值表:
a b C
f co
1
0 0 0 0 0
0 0 1 1 0
0 1 0 1 0
0 1 1 0 1
1 0 0 1 0
1 0 1 0 1
1 1 0 0 1
1 1 1 1 1
全加器的卡诺图
四、实验内容和步骤
1.(1)打开MUXPLUS错误!未找到引用源。
,选择”File”→”New”,在弹出的”New”对话框中选择”File Type”中为原理图编辑输入项”Graghic Editor file”,按”OK”后将打开原理图输入编辑窗。
(2)在原理图编辑窗中的任何一个位置右击鼠标,将快捷菜单,选择其中的输入元件项”Enter Symbol”。
(3)用鼠标双击元件库”Libraries”中的c:\muxplus2\max2lib\prim项(假设Muxplus2安装在C盘)。
在”Symbol Files”窗口即可看到基本逻辑元件库prim中的所有元件。
为了设计半加器,分别调入元件and2,not,xnor,input和output并连接好。
如图8-3,8-4所示。
然后分别在input和output的PINNAME 上双击使其变黑色,再用键盘分别输入各引脚名:a,b,co,so。
(4)选择菜单”File”→”Save as”,将设计项目设置成工程文件,选择目标器件并编译。
步骤1:半加器原理图设计:
2.(1)建立波形文件
选择”File”→”NEW”,选择对话框中的”Waveform Editer file”,打开波形编辑窗。
(2)在波形编辑窗的上方选择菜单”Node”,在下拉菜单中选择输入信号节点项”Enter Nodes from SNF ”。
在弹出的对话框中(如图8-7所示)单击”List”按钮,这时左列表框将列出该设计的所有信号节点。
将需要观察的信号选到右边窗口中,然后单击”OK”按钮。
(3)加上输入信号,为输入信号a,b设定测试电平,波形文件存盘,选择”File→Save as”,按”OK”按钮即可。
(4)运行仿真器
半加器仿真图:
3.全加器步骤与半加器一样:
全加器原理图设计:
全加器仿真图:。