串口通信FPGA实现
FPGA与PC串口通信设计与实现

京 航 空航 天 大 学 出版 社 ,0 8 20.
f1 2周润景J 】 C 【) 字系统设计 实例阿 北 FG P J 数 1 京: 电子 工 业 出版 社 。0 1 20, lI 旭 东 ̄ A L B及 其在 F G 中的应 硐【 . 3王 TA PA M1 北京: 国防 工业 出版社 。0 8 20.
协 内■蘸
I J‘ .
m ) 的系统设计中 , y 芯片 程序软件仿 真验证通 过 不会发生误_ 。 麴 将数据存储于 8 I 位的移位寄存器 后 , 要 下载 到 芯 片 需 当中。 存储 8 位数据 以后就完成了一 有效数据 吟 能。可 以使用 P C向 P GA通过 串口发送数据并 的提取 , F 发送端置高等待下次起始位 的到来。 将处理后的数据返 回计算 机分析是否满足设 计 A CI S I码转换模块 : 由于发送端发的是数据 要求 。 完成串口通信可 以选用专用的串行外设接 的 AS I码 , CI 8位数据代表一 0或者 1 3 H代 — 。0 口芯 片如 15 0 N 14 0或者控制单 片机接收 表 0 3 H代表 1 65 ,S65 ,1 ,所以在 T D将数据传送给数 X 图 1 系统 总体 框 图 数据 。而采用模块化设计方法 , 通过硬件描述语 据处理单元 之前先要完成 A C S H码的转换 , 将转 、 单元。RX D的工作流程是 言编程可 以将外部芯片的功能集成到 F G 换 后的 0 1发给下 ~I P A内 囊I I I l l l l _ _ - l 麓 l 部 实现 , 这种 设计方法 可移植性强 , 又能避免不 接收端 一直检测 P C的发送数据是否 为起始位 皇缒 , 因为有 必要 的资源浪费 。本文采 IE 1.软件 开发平 0 一旦检测到就进行有效数据位 的接收, S 01 图 2 异步 串行通信格式 台 ,选 用 Xl x公 司 的 s a a.3 系 列 F G 效数据为 8位 , 以要判断接收的位数 , in i pn r E 一 PA 所 每结束 完 成了与 P C的通信功能。 个 比特计数 加一 ,当计到 8 时判定接收完毕 , 将接收的数据进行 A CI SI 码转换 , 然后传送给数 l系统的总体设 计 系统 的总体设计 思想是 : 发送 数据 , 据处理模块进行处理。 C P 由 由于数据处理部分在不同 本文不再赘述 。 MA 3 X2 2完成 R - 3 S 2 2电平到 1- 电平 的转换 , 系统中完成的工作各不相同 , Il lJ FG P A内部的 R D模块负责转换后 串行数据的 X 22FF . IO模 块 接收 , 数据处理单元 对接收数据进行处理 , 处理 I  ̄( i n itou) FF 1rtI Fr t是 一种先进先出的 es s 完成以后将数据暂存于 F F IO中 ,D T X读取暂存 数据缓存器 , 即读取数据h 僦 读取最早存人的 敬 据按通 信协 议把数 据发 给 MA 2 2反 馈给 数据。本 系统调用的 IE 带的 F O I , X3 S I F P核 保 图 3 R D模块仿真 图 X C 分析数据是否符 合要求 , 而验证整个系统 证 了速度与功耗的最优 , P, 从 并节省 了开发周期。使 设 计 的 合理性 。系 统框 图如 图 I 示 。 所 用 FF IO需要设 置~ 参数 。 些 数据宽度设置为 1 , R D单 元每接 收完 一 X 个数据 即向数据 处 即存储的数据宽度是 1 。 位 数据深度是指存储器 理单元发送—个 a e 电平有效信 号, v 高 告之其 可以存储数据的个数 , 此时的数据是需要处理的 , 数据处理单元接收到 代码 长耋 , 如果要测试 10 0 0个发送数据 的编码 那么编码后产生了 2 0 个数据 , 00 深度 ale ci 有效后采集 dt,这样可以避免数据采集 是否正确, v a a 0 8 留有一定富裕度 。 读写使能信 的错 误。 不同的系统所要完成的数据处理功能也 可以设置为 2 4 , 图 4 FF 存 储 器仿 真模 块 IO 不相同, 本实验中完成 的是对接 收信号进行 2 3 号 由分 别 由 T D和数据 处理单 元给 出。每 次 1 X 当完成 8 位数据的接收 I F 卷积编码功能 。 如果其他系统需要采集 多个数据 F O时钟的上升沿到来时,如果读写使能为高 存 储—个数据到最高位 。 将 . r x 1 转换后 数据处理单元每次处理 时 , 其转换为 0或 1如图 , d为 3 H, 后再处理一次,可以在接收端也添加一个 FF 就进行相应的读写操作。 IO oe , cv 高电平通 i 缓存数据。 个数据后就 向 FF IO发送 一个写使 能信号 , 告 的数据 cd 是 1 同时发送—个 at e 知数据处理单元进行接收并处理 。 2F G P A主要 功 能 模 块设 计 之存 储一个数据 ,X T D的操作类似。 32FF . IO存储器模块 21 B D模块 . X 2 T D模 块 . X 3 如 图4 所示 ,i d n是数据的输入端 , 依次输入 T D模块 负责把 处理后 的放据从 FF X IO中 R XD主要包括分频模块 , 数据采样存储模 个不同数 据, 【朋 为写使能端 , w- 在时钟上升沿 块 , S H码转换模块。为了更好的理解 R D工 读出, AC X 将其传送给 MAX 3 2 2完成电平转换 , 回 8 返 读时钟一直允许置高 , 可以看 出 d . tt _ 渎 给P C分析通过验证 处理 的 的数据是 否符合 前置位 , 作流程 , 下面先介绍一下 符 IO的工 输格式 每发~ 帧数据包 含—位起始位 、 一 8位数 设 计要求 来证 明收发 系统 的合理 性 。T D给 数据端按顺序输出写入 的数据 , 合 FF X 据位 、 一 位奇偶校验位和_位 停止位 。当发送端 FF — I O提供读使能信号 r e , d n 当数据处理单元完 作原理。 _ 4结 沧 这之 处 于空闲状态时, 一直发送高电平。每次开始发 成 一个数的编码后存 赭器中就存入有效值, Fea芯 片越 来越广泛 的应 用于电子设计 l 送新数据前首先发送一位低 电平的起始位 , 这样 后 T D就可以置 r_ ̄为高提 取存储器数据 。 X de 它与 P c的通信完成设计的调试 起蕾 要 检测端检测到低电平 就能判 断有效数据 已开始 存储器中数据是一位的 0或 l ,发送给 P C接收 当中, P A与 P 的串 口通信 系 C 发送 , 准备接收数据。 之后接 收 8 位有效数据, 由 的数据需要符合异步串行通信 的格式 例如发送 作用 。本 艾实现 了 F G 统, 通过软件仿真和下载测试验证了设计的合理 低位到高位存储。根据收发方约定 , 可以采用无 个 0应先发 一位起始位 0 ,再发送 0的 A G SH 性, 系统可移植性 强 , 于其他场合只需改变 内 对 0 0 0, 1 奇偶校验位方式 , 发送数据率为 9 O 6 O波特。 其发 码 0 0 10 最 后 发 送终 止 位 l 部处理单元的功能 即可使用。 送格式如图 2 分频模块 :P 。 F GA系统的主时钟为 3 F GA主要模块的仿真分析 P 参考文献 5 M, 0 而采样频率为 9 9 " h , 6 0 4 z为了提供采样时 3】 R D模 块仿真 - X 1 l Vr g i I 京: I 北 北 钟 可以将主时钟进行 10 分频。 32 分频可由计数 如图3 所示 ,X R D单元 的接收串行信 号为 【夏字 ̄.eh 数字 系统设计教程f
基于FPGA的串口通信设计与实现

置和输人数据计算出响应
的奇偶校验位,它是通过
纯组合逻辑来实现的。
2.6总线选择模块
总线选择模块用于
选择奇偶校验器的输入是
数据发送总线还是数据接
收总线。
2.7计数器模块
计数器模块的功能
是记录串行数据发送或者
接收的数日,在计数到某
数值时通知UART内核模
块。 3 UART程序设计 UART完整的工作流程可以分为接收过程
关键词:FPGA:UART:RS232
引言 串行接口的应用非常广泛,为实现串口通 信功能一般使用专用串行接口芯片,但是这种 接口芯片存在体积较大、接口复杂以及成本较 高的缺点,使得硬件设计更加复杂,并且结构与 功能相对固定,无法根据设计的需要对其逻辑 控制进行灵活的修改。介绍了一种采用FPGA 实现串口通信的方法。 1串口通信协议 对一个设备的处理器来说,要接收和发送 串行通信的数据,需要一个器件将串行的数据 转换为并行的数据以便于处理器进行处理,这 种器件就是UART(Universal Asynchronous Re— ceiver/Transmitter)通用异步收发器。作为接iSl的 一部分,UART提供以下功能: 1.1将由计算机内部传送过来的并行数据 转换为输出的串行数据流; 1.2将计算机外部来的串行数据转换为字 节,供计算机内部使用并行数据的器件使用; 1.3在输出的串行数据流中加入奇偶校验 位,并对从外部接收的数据流进行奇偶校验: 1.4在输出数据流中加入启停标记,并从 接收数据流中删除启停标记。 2 UART模块设计 UART主要由UART内核、信号检测器、移 位寄存器、波特率发生器、计数器、总线选择器 和奇偶校验器7个模块组成。(见图1) 2.1 UART内核模块 UART内核模块是整个设计的核心。在数 据接收时,UART内核模块负责控制波特率发 生器和移位寄存器同步的接收并且保存 RS一232接收端口上的串行数据。在数据发送 时,UART内核模块首先产生完整的发送序列, 之后控制移位寄存器将序列加载到移位寄存器 的内部寄存器里,最后再控制波特率发生器驱 动移位寄存器将数据串行输出。 2_2信号检测模块 信号检测器用于对RS一232的输入信号进 行实时检测,一旦发现新的数据则立即通知 UART内核。需要注意的是,这里所说的 RS一232输入输出信号都指经过电平转换后的 逻辑信号,而不是RS一232总线上的电平信号。 2_3移位寄存器模块 移位寄存器的作用是存储输入或者输出 的数据。 2.4波特率发生器模块 由于RS一232传输必定是工作在某种波特 率下,比如9600,为了便于和RS一232总线进行 同步,需要产生符合RS一232传输波特率的时 钟。 2.5奇偶校验器模块 奇偶校验器的功能是根据奇偶校验的设
带fifo的串口发送器FPGA实现

带fifo的Uart发送器的FPGA实现FPGA使用Altera公司EP4C15F17C8N芯片,它的性能优越,支持大部分IP核使用。
常用它来学习NIOS II。
使用它实现带FIFO的串口。
首先,对uart的实现进行设计。
Uart的时序如下图所示:一般使用Uart时,配置为无校验,一个停止位,一个起始位,8位数据。
其中尤其重要的为波特率的设置。
波特率对应了Uart通信的位宽,用FPGA实现Uart其实就是对通信位宽的调制。
位宽通过基础时钟分频得到。
Uart波特率计算及实现以9600波特率为例计算:9600的意思为每秒传输的bit数为9600,所以位宽为:1/9600s ,换算为ns为:104167ns。
那么,如果时钟频率为25MHz,位宽系数为:104167/40 = 2604。
综合上述分析,可以得到一个公式,即:分频系数= 时钟频率/波特率。
例如:115200波特率,50MHz时钟其对应位宽为:50000000/115200 = 434。
那么以115200波特率,50MHz时钟为例实现Uart的发送。
计时器对时钟计数,经过434个周期之后,对计数器加1操作,对相应的信号进行操作,即可实现,它的源代码如下:always @(posedge clk or negedge rst)if(rst == 1'd0) count <= 17'd0;else if(count == 17'd434) count <= 17'd0;else count <= count + 1'd1;wire sendclk = (count == 17'd434);根据sendclk对Uart进行实现,代码如下:always @(posedge clk or negedge rst)if(rst == 1'b0) TX <= 1'b1;else if(FIFOempty == 1'b1) begin TX <= 1'b1; endelsecase(txcount)4'd0: TX <= 1'b0; //start bit is low4'd1: TX <= fifodata[0];4'd2: TX <= fifodata[1];4'd3: TX <= fifodata[2];4'd4: TX <= fifodata[3];4'd5: TX <= fifodata[4];4'd6: TX <= fifodata[5];4'd7: TX <= fifodata[6];4'd8: TX <= fifodata[7];4'd9: TX <= 1'b1; // stop bitsdefault: TX <= 1'b1 ;endcase它包含了一个有限状态机。
基于FPGA实现CRC校验功能的通用异步串口通信

T ONG a .o g.S Xio r n HENG h n . io Z o g ba ( o eeo te t sa dIfr t nS i c ,We a ec es iesy We a 10 0 hn ) C l g f l Mahma c n nomai ce e i o n i nT ah r vri , i n74 0 ,C ia n Un t n
Ab t a t U T i u e d l st e i tr c fRS 2 2,t ba n a c r t e a o sr c : AR s s d wie y a h e a e o - 3 n f o o ti c u ae s r lc mmu i ain o i nc t f o dt aa,asmp e a d p a t a c e o i l n r ci l h me frUAR e in a d x aia in w sp t o w r .C ci e u d n y c s T d s n e l t a u r a d y l r d n a c g ; z o f c
Tas t r 一种应 用 广 泛 的于 短距 离 串行 传 输 rnmie)是 t 接 口, 常用 于 短 距 离 、 速 、 成 本 通 信 中 , 于 常 低 低 基
FG P A实现起来简单, 方便_ 。为保证传输 的可靠 1 ] 性, 就需要对通信过程进行差错控制 , R C C循环冗 余校验模块是一种查错能力强 , 高效, 可靠的方法 , 在
论分析一致 ,达到 了 预期设计的 目 标。提高了通信的速度 、可靠性和效率。 关键 词 :F G C C检验 ; 用异 步收 发器 ; 靠性 P A; R 通 可
FPGA实现SPI

FPGA实现SPIFPGA(Field Programmable Gate Array)是一种可编程逻辑器件,可以实现不同的数字电路功能。
SPI(Serial Peripheral Interface)是一种同步串行通信协议,常用于连接外围设备和主控制器。
在本文中,将介绍如何使用FPGA实现SPI。
1.确定硬件资源:首先,需要确定FPGA中可用的IO资源。
SPI需要至少4个IO口,分别是主设备的时钟引脚(SCK),主设备输出的数据引脚(MOSI),主设备输入的数据引脚(MISO)和片选引脚(SS)。
根据所用的FPGA型号,可以查找对应的引脚定义。
2.确定SPI时序:SPI的时序是非常重要的,不同设备可能有不同的时序规范。
一般情况下,SPI的时序包括时钟下降沿数据采样、时钟上升沿数据输出等。
SPI的时序图可以在设备的数据手册中找到。
3. 编写SPI控制器:SPI控制器可以用硬件描述语言如VHDL或Verilog编写。
控制器的功能包括生成时钟、控制数据的发送和接收、以及处理片选信号。
a.时钟生成:SPI通信需要一个时钟信号来驱动数据的传输。
可以通过计数器模块来生成控制器的时钟信号。
计数器的频率一般是SPI时钟频率的若干倍。
b. 数据发送:对于主设备(Master),要发送数据给外设,可以使用移位寄存器(Shift Register)来存储要发送的数据。
可以使用计数器生成移位寄存器的时钟信号,通过串行输入数据,并在时钟的上升沿时将数据发送到MOSI引脚。
c.数据接收:对于主设备,要接收外设发送的数据,可以使用另一个移位寄存器来接收MISO引脚传输的数据。
可以使用计数器生成移位寄存器的时钟信号,通过MISO引脚接收数据,并在时钟的下降沿时将数据存储到接收寄存器。
d.片选控制:SPI通信需要一个片选信号来选择要与主设备通信的外设。
可以通过一个时序控制器实现片选信号的生成。
在与一些外设通信时,使能片选信号,否则禁用片选信号。
基于FPGA的串口通信

module chuankou_1(clk,rxd,dig_bit,dig_seg);input clk,rxd;output[1:0]dig_bit;output[7:0]dig_seg;reg[1:0]dig_bit;reg[7:0]dig_seg;reg flag_bit;//数码管位标志//使用9600bps的波特率,使用其16倍频进行读取;reg clk_rxd;//16倍频,对于50MHZ的时钟,其分频系数为8'hA1;reg[7:0]cnt_clk_rxd;reg[3:0]cnt_read;//对16倍频的周期信号进行计数,每16次清零,并开始读取下一位数据;reg r1,r2,r3;//对每一位数读三次,以保证准确率;reg[7:0]data;//用来逐位接收串口的数据;reg[3:0]cnt_bit;//对data进行位选择;reg[2:0]state;reg clk_led;//数码管显示使用reg[11:0]cnt_clk_led;reg[3:0]num;//产生16倍频的时钟;always@(posedge clk)beginif(cnt_clk_rxd>=8'ha1)begincnt_clk_rxd<=8'd0;clk_rxd<=~clk_rxd;endelsecnt_clk_rxd<=cnt_clk_rxd+1'b1;endparameter IDLE=3'b000,READ=3'b001,WRITE=3'b010;always@(posedge clk_rxd)begincase(state)IDLE:beginif(rxd==1'b1)beginstate<=IDLE;cnt_read<=4'd0;endelsebeginif(cnt_read<4'd15)begincnt_read<=cnt_read+1'b1;state<=IDLE;endelsebegincnt_read<=4'd0;state<=READ;endendendREAD:beginif(cnt_bit<=4'd7)beginif(cnt_read==4'd4)begincnt_read<=cnt_read+1'b1;r1<=rxd;state<=READ;endelse if(cnt_read==4'd9)begincnt_read<=cnt_read+1'b1;r2<=rxd;state<=READ;endelse if(cnt_read==4'd12)begincnt_read<=cnt_read+1'b1;r3<=rxd;state<=READ;endelse if(cnt_read==4'd15)beginif((r1==r2)&&(r2==r3)&&(r1==r3))begindata[cnt_bit]<=r3;//取值;state<=READ;cnt_read<=4'd0;cnt_bit<=cnt_bit+1'b1;endelsebegincnt_bit<=4'd0;state<=IDLE;cnt_read<=4'd0;endendelsebeginstate<=READ;cnt_read<=cnt_read+1'b1;endendelsebeginstate<=WRITE;cnt_bit<=4'd0;endendWRITE://此状态暂时未用;beginstate<=IDLE;enddefault:state<=IDLE;endcaseend//以下是数码管显示部分;parameter segcode0=8'b1100_0000;parameter segcode1=8'b1111_1001;parameter segcode2=8'b1010_0100;parameter segcode3=8'b1011_0000;parameter segcode4=8'b1001_1001;parameter segcode5=8'b1001_0010;parameter segcode6=8'b1000_0010;parameter segcode7=8'b1111_1000;parameter segcode8=8'b1000_0000;parameter segcode9=8'b1001_0000;parameter segcodea=8'h88;parameter segcodeb=8'h83;parameter segcodec=8'hc6;parameter segcoded=8'ha1;parameter segcodee=8'h86;parameter segcodef=8'h8e;always@(posedge clk)beginif(cnt_clk_led>=12'h9c3)begincnt_clk_led<=12'd0;clk_led<=~clk_led;endelsecnt_clk_led<=cnt_clk_led+1'b1;endalways@(posedge clk_led)beginflag_bit<=flag_bit+1'b1;case(flag_bit)1'b0:dig_bit<=2'b10;1'b1:dig_bit<=2'b01;endcaseendalways@(posedge clk_led)begincase(flag_bit)1'b0:num<=data[3:0];1'b1:num<=data[7:4];endcaseendalways@(num)begincase(num)4'd0:dig_seg<=segcode0;4'd1:dig_seg<=segcode1;4'd2:dig_seg<=segcode2;4'd3:dig_seg<=segcode3;4'd4:dig_seg<=segcode4;4'd5:dig_seg<=segcode5;4'd6:dig_seg<=segcode6;4'd7:dig_seg<=segcode7;4'd8:dig_seg<=segcode8;4'd9:dig_seg<=segcode9;4'd10:dig_seg<=segcodea;4'd11:dig_seg<=segcodeb;4'd12:dig_seg<=segcodec;4'd13:dig_seg<=segcoded;4'd14:dig_seg<=segcodee;4'd15:dig_seg<=segcodef;endcaseendendmodule。
FPGA和单片机串行通信接口的实现

FPGA和单片机串行通信接口的实现FPGA(Field-Programmable Gate Array)和单片机(Microcontroller)是两种常用的数字电子设备,它们在串行通信接口方面有不同的实现方式。
首先,我们需要了解串行通信是一种将数据以位的形式逐个传输的通信方式。
常见的串行通信协议包括UART(Universal Asynchronous Receiver/Transmitter)、SPI(Serial Peripheral Interface)和I2C (Inter-Integrated Circuit)等。
对于FPGA和单片机之间的串行通信,我们可以基于以下几种方式进行实现:1. UART:UART是一种常见的串行通信协议,可以实现全双工的通信。
在FPGA和单片机之间建立UART通信,需要在FPGA中实现UART模块,并将其与单片机的UART接口连接。
在FPGA中,我们可以使用硬件语言(如Verilog或VHDL)来实现UART模块,该模块负责将FPGA内部的数据通过UART协议进行封装和解封装。
单片机与FPGA之间通过TX(发送)和RX (接收)引脚建立连接。
单片机可以通过串口发送数据给FPGA,FPGA接收到数据后进行处理,然后再通过串口将处理后的数据发送给单片机。
2.SPI:SPI是一种用于片上外设之间通信的串行通信协议,常用于FPGA与外部设备(例如传感器、显示器等)之间的通信。
在FPGA和单片机之间建立SPI通信,需要在FPGA中实现SPI控制器,并将其与单片机的SPI接口连接。
FPGA通过把数据写入SPI发送缓冲区或从SPI接收缓冲区读取数据来实现与单片机的通信。
单片机通过控制SPI接口的时钟、数据和使能信号来与FPGA进行数据传输。
3.I2C:I2C是一种双线制串行总线,常用于连接多个设备的系统,例如FPGA、单片机和其他外部设备之间的通信。
在FPGA和单片机之间建立I2C通信,需要在FPGA中实现I2C控制器,并将其与单片机的I2C接口连接。
FPGA串口UART学习笔记1串口通信

FPGA串⼝UART学习笔记1串⼝通信串⼝通信1、串⼝简介串⾏接⼝,COM接⼝,只需要两根线就能实现两台设备之间的通信。
UART指的是异步的串⾏接⼝,通⽤异步收发。
标准常⽤的是RS-232标准接⼝现在电脑上没有串⼝了,所以使⽤的是USB转串⼝芯⽚,CH340芯⽚。
换句话说,只需要两根数据线UART_RXD和UART_TXD,就能完成两台设备之间的通信。
2、串⼝时序两根数据线各⾃独⽴互不影响,⼆者的时序是相同的。
不同之处是UART_RXD是主机MASTER发送给从机SLAVE,UART_TXD是SLAVE 发送给MASTER。
由于两根线的时序完全相同且独⽴,下⾯以UART_TXD为例。
空闲状态时,UART_TXD⼀直拉⾼,当要传输数据之前,拉低⼀个数据位,此后开始传输数据。
数据之后有⼀个校验位,校验位之后是停⽌位,停⽌位之后进⼊下⼀个传输周期。
⾄此,完成了⼀个数据包的传输。
注意:(1)、传输的数据是从低⽐特位开始传,⽐如101010,接受端的接受顺序是010101。
(2)、传输数据的位数是MASTER与SLAVE约定好的,可以是4、5、6、7、8位,时序图中是以⼋位为例。
(3)、校验位⼀般是奇偶检验。
当然,也可以选择没有检验位,前提是MASTER与SLAVE约定好,在SLAVE解析接收到的数据的时候,不安排校验位的解析。
(4)、停⽌位,停⽌位是保证两段传输之间⼀定要有间隔。
两段传输之间可以没有空闲时间,但是,停⽌位⼀定要有。
3、时间的问题从时序图上可以看出,串⼝的发送和接受是没有时钟的,换句话说,这是⼀个异步时序。
那么如何确定每个位所需要的时间就尤为重要。
这个问题的要点是波特率,每秒发送/接受单位的个数。
我们使⽤的串⼝是以⽐特为单位,所以这⾥波特率与我们的⽐特率相同。
常见的波特率的数值有9600,19200,38400,57600,115200等。
以9600为例,表⽰⼀秒钟发送/接受9600个⽐特。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
FPGA设计与应用串口通信实验报告班级:1105103姓名:苏林效学号:1110510321日期:2014年10月29日实验性质:验证性实验类型:必做开课单位:电信院学时:2学时一、实验目的1、了解串口通信的基本原理;2、掌握锁相环的基本原理和使用方法;3、掌握起始位和停止位的含义及实现方法;4、掌握VHDL状态机的基本使用方法;5、掌握基本的接口设计和调试技巧;二、实验准备2.1 串口通信原理(1分)串口通信是以字节为单位,按位传输数据和接收数据的。
先看一下发送数据:串行线缆的两端事先约定好串行传输的参数(传输速度、传输格式等),之后进行传输,①当没有数据传输的时候,发送端向数据线上发送“1”;②当要传输数据时,发送端先发送一个“0”来表示要传输数据了,这样当接收端检测到“0”便可以知道有数据到来了;③开始传输后,数据以约定的速度和格式传输;④每次传输完成一个字节之后,都在其后发送一个停止位“1”。
这样,发送数据就结束了。
再来看接收数据,初始状态是等待状态,接收的一直是“1”,当检测到0时进入检验状态,在检验状态下如果再检测到0(一般采用过采样)则进入接收数据状态,当接收完8位比特数后判断是否有停止位,如果有则此字节接收结束,重新进入等待状态准备接受下一字节。
2.2 锁相环的基本原理(1分)锁相环是以锁定输入载波信号的相位为目标的一种载波环实现形式。
它由三部分组成:鉴相器(PD)、环路滤波器(LPF)和压控振荡器(VCO)。
如上图。
锁相环的工作原理:压控振荡器的输出经过采集并分频,后与基准信号同时输入鉴相器。
鉴相器通过比较上述两个信号的相位差,输出结果通过环路滤波器,滤除噪声和高频分量,然后输出一个直流脉冲电压,用它来控制VCO ,使它的频率改变,使()lim 0c p t θ=。
经过较短时间后,VCO 的输出稳定于某一值。
环路一旦进入锁定状态后,压控振荡器的输出信号与环路的输入信号(参考信号)相位时刻保持一致。
三、代码及测试3.1程序及分析(2分)顶层原理图如上所示,主要包括三个模块,锁相环(输出57.69MHz )、分频(输出接受时的10倍采样速率和数码管显示速率)、接受(按57.6kbps 接受从串口发来的数据)。
分频模块代码: LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY DIV ISPORT(CLK : IN STD_LOGIC; --锁相环输出时钟57.69MCOUT1 : OUT STD_LOGIC;--分频器输出采样时钟576kCOUT2 : OUT STD_LOGIC);--分频器产产生扫描时钟100hzEND DIV;ARCHITECTURE BEHAV OF DIV ISBEGINPROCESS(CLK)V ARIABLE COUT1_NUM : STD_LOGIC_VECTOR(5 DOWNTO 0):=(OTHERS => '0'); --输出时钟1 计数器V ARIABLE COUT2_NUM : STD_LOGIC_VECTOR(18 DOWNTO 0):=(OTHERS => '0'); --输出时钟2 计数?V ARIABLE COUT1_1 : STD_LOGIC;V ARIABLE COUT2_2 : STD_LOGIC;BEGINIF RISING_EDGE(CLK) THENIF COUT1_NUM >= 49 THENCOUT1_1 := NOT COUT1_1;COUT1_NUM := (OTHERS => '0');ELSECOUT1_NUM := COUT1_NUM + 1;END IF;IF COUT2_NUM >= 288450 THENCOUT2_2 := NOT COUT2_2;COUT2_NUM := (OTHERS => '0');ELSECOUT2_NUM := COUT2_NUM + 1;END IF;COUT1 <= COUT1_1;COUT2 <= COUT2_2;END IF;END PROCESS;END BEHAV;接受模块代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY COM_REC ISPORT(CLK_10 : IN STD_LOGIC;--输入时钟,按10倍采样,是rs232串口速率的10倍CLK_DIS : IN STD_LOGIC;-- DISPLAY CLKINNUM : IN STD_LOGIC;--输入的值LED_EN : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);LED : OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END;ARCHITECTURE BEHAV OF COM_REC ISTYPE STATE IS (SPARE, READY, REC, STOP);--定义接受4状态SIGNAL TR_INNUM : STD_LOGIC_VECTOR(7 DOWNTO 0); --接收到8位数SIGNAL LED_L : STD_LOGIC_VECTOR(6 DOWNTO 0);--控制两位数码管显示SIGNAL LED_H : STD_LOGIC_VECTOR(6 DOWNTO 0);BEGINPROCESS(CLK_10)V ARIABLE TR_INNUM_NUM : INTEGER RANGE 0 TO 15 := 0; --对接受到得每一位数计数V ARIABLE TRUE : STD_LOGIC := '0';V ARIABLE CURRENT_STATE : STATE := SPARE;--当前状态V ARIABLE SAM_NUM_0 : INTEGER RANGE 0 TO 15 := 0;--对采样次数计数V ARIABLE SAM_NUM_1 : INTEGER RANGE 0 TO 15 := 0;BEGINIF CLK_10'EVENT AND CLK_10 = '1' THENIF CURRENT_STATE = SPARE THENIF INNUM = '0' THENSAM_NUM_0 := SAM_NUM_0 + 1;--第一次采样到零点,即改变状态CURRENT_STATE := READY;ELSECURRENT_STATE := SPARE;END IF;ELSIF CURRENT_STA TE = READY THENIF INNUM = '0' THENSAM_NUM_0 := SAM_NUM_0 + 1;ELSESAM_NUM_1 := SAM_NUM_1 + 1;END IF;IF (SAM_NUM_0 + SAM_NUM_1) >= 10 THEN--判断是否真开端IF SAM_NUM_0 >= 6 THENCURRENT_STATE := REC;SAM_NUM_0 := 0;SAM_NUM_1 := 0;ELSECURRENT_STATE := SPARE;END IF;ELSECURRENT_STATE := READY;END IF;ELSIF CURRENT_STA TE = REC THENIF INNUM = '0' THENSAM_NUM_0 := SAM_NUM_0 + 1;ELSESAM_NUM_1 := SAM_NUM_1 + 1;END IF;IF (SAM_NUM_0 + SAM_NUM_1) >= 10 THEN --接受数据判断IF SAM_NUM_0 >= 6 THENTR_INNUM(TR_INNUM_NUM) <= '0';ELSETR_INNUM(TR_INNUM_NUM) <= '1';END IF;SAM_NUM_0 := 0;SAM_NUM_1 := 0;TR_INNUM_NUM := TR_INNUM_NUM + 1;IF TR_INNUM_NUM >= 8 THENCURRENT_STATE := STOP;TR_INNUM_NUM := 0;ELSECURRENT_STATE := REC;END IF;ELSECURRENT_STATE := REC;END IF;ELSIF CURRENT_STA TE = STOP THENIF INNUM = '1' THENSAM_NUM_1 := SAM_NUM_1 + 1;ELSESAM_NUM_0 := SAM_NUM_0 + 1;END IF;IF (SAM_NUM_0 + SAM_NUM_1) >= 10 THEN IF SAM_NUM_1 >= 6 THENTRUE := '1';CURRENT_STATE := SPARE;END IF;SAM_NUM_0 := 0;SAM_NUM_1 := 0;ELSECURRENT_STATE := STOP;END IF;ELSECURRENT_STATE := SPARE;END IF;END PROCESS;LED_H <="0111111" when TR_INNUM(7 downto 4) = 0 else "0000110" when TR_INNUM(7 downto 4) = 1 else"1011011" when TR_INNUM(7 downto 4) = 2 else"1001111" when TR_INNUM(7 downto 4) = 3 else"1100110" when TR_INNUM(7 downto 4) = 4 else"1101101" when TR_INNUM(7 downto 4) = 5 else"1111101" when TR_INNUM(7 downto 4) = 6 else"0000111" when TR_INNUM(7 downto 4) = 7 else"1111111" when TR_INNUM(7 downto 4) = 8 else"1101111" when TR_INNUM(7 downto 4) = 9 else"1110111" when TR_INNUM(7 downto 4) = 10 else"1111100" when TR_INNUM(7 downto 4) = 11 else"0111001" when TR_INNUM(7 downto 4) = 12 else"1011110" when TR_INNUM(7 downto 4) = 13 else"1111001" when TR_INNUM(7 downto 4) = 14 else"1110001";LED_L <="0111111" when TR_INNUM(3 downto 0) = 0 else "0000110" when TR_INNUM(3 downto 0) = 1 else"1011011" when TR_INNUM(3 downto 0) = 2 else"1001111" when TR_INNUM(3 downto 0) = 3 else"1100110" when TR_INNUM(3 downto 0) = 4 else"1101101" when TR_INNUM(3 downto 0) = 5 else"1111101" when TR_INNUM(3 downto 0) = 6 else"0000111" when TR_INNUM(3 downto 0) = 7 else"1111111" when TR_INNUM(3 downto 0) = 8 else"1101111" when TR_INNUM(3 downto 0) = 9 else"1110111" when TR_INNUM(3 downto 0) = 10 else"1111100" when TR_INNUM(3 downto 0) = 11 else"0111001" when TR_INNUM(3 downto 0) = 12 else"1011110" when TR_INNUM(3 downto 0) = 13 else"1111001" when TR_INNUM(3 downto 0) = 14 else"1110001";PROCESS(CLK_DIS)V ARIABLE A : STD_LOGIC;BEGINIF RISING_EDGE(CLK_DIS) THENIF A = '0' THENLED <= LED_L;LED_EN <= "0001";A := '1';ELSELED <= LED_H;LED_EN <= "0010";A := '0';END IF;END IF;END PROCESS;END BEHAV;3.2 SignalTap II波形测试结果(1分)此实验要求发送16进制的21H,实际发送的是十进制的33,而数码管却显示21,高四位(0010)、低四位(0001)。