EDA复习卷加试卷

合集下载

eda期末考试复习题

eda期末考试复习题

eda期末考试复习题EDA期末考试复习题一、选择题(每题2分,共20分)1. EDA(Electronic Design Automation)是指:A. 电子设计自动化B. 电子数据交换C. 电子文档自动化D. 电子设备自动化2. 在EDA工具中,用于设计和仿真数字逻辑电路的软件是:A. MATLABB. VHDLC. SPICED. AutoCAD3. 下列哪个不是硬件描述语言(HDL)?A. VerilogB. VHDLC. C++D. SystemVerilog4. FPGA(Field-Programmable Gate Array)是一种:A. 可编程逻辑器件B. 微处理器C. 存储器D. 网络设备5. 在VHDL中,以下哪个关键字用于定义实体?A. entityB. architectureC. processD. package6. 以下哪个是模拟电路设计中常用的EDA工具?A. Quartus IIB. ModelSimC. CadenceD. Xilinx ISE7. 以下哪个不是数字电路设计中的基本逻辑门?A. ANDB. ORC. NOTD. XOR8. 在VHDL中,以下哪个是并行赋值语句?A. ifB. caseC. loopD. when9. 在EDA设计流程中,仿真验证的目的是:A. 检查电路是否能正常工作B. 检查电路的物理尺寸C. 检查电路的功耗D. 检查电路的散热性能10. 以下哪个不是EDA设计流程中的步骤?A. 电路设计B. 仿真验证C. 版图设计D. 电路测试二、填空题(每空2分,共20分)11. 在VHDL中,用于定义端口的关键字是________。

12. FPGA的编程方式包括________和________。

13. 一个完整的EDA设计流程通常包括________、________、________、________和________。

14. 在数字电路设计中,________是一种用于描述电路行为的建模方式。

EDA考试题目及答案

EDA考试题目及答案

EDA考试题目及答案一、单项选择题(每题2分,共10题)1. EDA技术中,用于描述数字电路的硬件描述语言是:A. VHDLB. VerilogC. C语言D. Python答案:A2. 在VHDL中,用于定义信号的关键字是:A. variableB. constantC. signalD. type答案:C3. 下列哪个不是Verilog中的测试平台(testbench)组件?A. initial块B. always块C. moduleD. function答案:D4. 在EDA设计中,用于模拟电路行为的软件工具是:A. 仿真器B. 编译器C. 综合器D. 布局器答案:A5. 以下哪个选项不是EDA工具的主要功能?A. 电路设计B. 电路仿真C. 电路测试D. 电路维修答案:D6. 在VHDL中,用于实现组合逻辑的构造块是:A. processB. if语句C. case语句D. all of the above答案:D7. Verilog中,用于描述时序逻辑的关键字是:A. alwaysB. initialC. moduleD. assign答案:A8. 在EDA设计流程中,电路综合通常发生在哪个阶段之后?A. 电路设计B. 电路仿真C. 电路测试D. 电路验证答案:B9. 下列哪个不是VHDL中的并发语句?A. ifB. caseC. loopD. procedure答案:D10. 在Verilog中,用于描述模块间连接的关键字是:A. inputB. outputC. wireD. module答案:C二、多项选择题(每题3分,共5题)1. EDA技术可以应用于以下哪些领域?A. 集成电路设计B. 软件工程C. 电子系统设计D. 机械工程答案:A, C2. VHDL中的哪些构造可以用来描述时序逻辑?A. processB. ifC. whileD. after答案:A, D3. 在Verilog中,哪些关键字用于定义模块的端口?A. inputB. outputC. inoutD. module答案:A, B, C4. EDA工具在设计流程中可以提供哪些辅助功能?A. 设计验证B. 设计优化C. 设计转换D. 设计维护答案:A, B, C5. 在EDA设计中,哪些因素会影响电路的性能?A. 电路复杂度B. 电源电压C. 温度变化D. 材料特性答案:A, B, C, D三、简答题(每题5分,共2题)1. 描述一下在EDA设计中,为什么需要进行电路仿真?答案:在EDA设计中,电路仿真是为了在实际制造电路之前,通过软件模拟电路的行为和性能。

eda期末考试试题及答案

eda期末考试试题及答案

eda期末考试试题及答案EDA期末考试试题及答案一、选择题(每题2分,共20分)1. EDA(电子设计自动化)主要应用于以下哪个领域?A. 机械设计B. 建筑设计C. 电子电路设计D. 软件开发答案:C2. 在EDA软件中,以下哪个不是常见的设计流程?A. 原理图设计B. 电路仿真C. 手动布线D. 封装设计答案:C3. 下列哪个不是EDA工具的组成部分?A. 原理图编辑器B. PCB布局工具C. 3D建模软件D. 仿真分析工具答案:C4. 在EDA设计中,PCB指的是什么?A. 印刷电路板B. 个人计算机C. 程序控制板D. 功率控制板答案:A5. 以下哪个是EDA设计中常用的文件格式?A. .txtB. .pdfC. .schD. .jpg答案:C...(此处省略其他选择题)二、简答题(每题10分,共30分)1. 简述EDA设计流程的主要步骤。

答案:EDA设计流程通常包括原理图设计、电路仿真、PCB布局、布线、封装设计、测试与验证等步骤。

2. 解释什么是PCB布线,并说明其重要性。

答案:PCB布线是指在印刷电路板上将电子元件的引脚通过导电路径连接起来的过程。

布线的重要性在于它直接影响电路的性能、可靠性和生产成本。

3. 描述电路仿真在EDA设计中的作用。

答案:电路仿真在EDA设计中用于模拟电路在不同条件下的行为,帮助设计者预测电路的性能,优化设计,并在实际制造之前发现潜在的问题。

三、计算题(每题15分,共30分)1. 给定一个简单的RC电路,计算其时间常数τ。

答案:时间常数τ是电容C和电阻R的乘积,即τ = R * C。

2. 假设一个电路的输入信号频率为1kHz,计算其周期T。

答案:周期T是频率f的倒数,即T = 1/f = 1/1000Hz = 1ms。

四、设计题(20分)设计一个简单的放大器电路,并使用EDA工具绘制其原理图。

答案:(此处应有原理图,但无法提供图像,故省略)五、论述题(20分)论述在现代电子设计中,EDA工具的重要性及其对设计流程的影响。

eda技术实用教程期末考试题及答案

eda技术实用教程期末考试题及答案

eda技术实用教程期末考试题及答案一、选择题(每题2分,共20分)1. EDA技术中,FPGA代表的是()。

A. 现场可编程逻辑阵列B. 现场可编程门阵列C. 现场可编程逻辑器件D. 现场可编程门器件答案:B2. 在EDA技术中,VHDL是一种()。

A. 硬件描述语言B. 软件描述语言C. 系统描述语言D. 网络描述语言答案:A3. 下列哪个不是EDA工具的主要功能()。

A. 逻辑综合B. 电路仿真C. 代码编译D. 布局布线答案:C4. 在VHDL中,下列哪个关键字用于定义并行语句()。

A. ifB. beginC. loopD. process答案:B5. 在EDA技术中,用于测试和验证数字电路的EDA工具是()。

A. 逻辑综合工具B. 电路仿真工具C. 布局布线工具D. 测试生成工具答案:B6. 下列哪个不是FPGA的配置方式()。

A. 在系统可编程B. 串行配置C. 并行配置D. 网络配置答案:D7. 在VHDL中,用于定义信号的关键字是()。

B. constantC. signalD. type答案:C8. 在EDA技术中,用于描述数字电路行为的模型是()。

A. 结构模型B. 数据流模型C. 行为模型D. 混合模型答案:C9. 在VHDL中,下列哪个关键字用于定义过程()。

A. procedureB. functionD. entity答案:C10. 在EDA技术中,用于优化电路性能的EDA工具是()。

A. 逻辑综合工具B. 电路仿真工具C. 布局布线工具D. 测试生成工具答案:C二、填空题(每题2分,共20分)1. EDA技术中的“EDA”代表的是______、______和______。

答案:电子设计自动化2. VHDL中的并发语句包括______、______、______和______。

答案:信号赋值、条件信号赋值、选择信号赋值、元件实例化3. 在FPGA设计中,______是用于存储配置数据的非易失性存储器。

EDA考试复习试题及答案

EDA考试复习试题及答案

EDA考试复习试题及答案EDA考试复习试题及答案一、选择题:(20分)1.下列是EDA技术应用时涉及的步骤:A.原理图/HDL文本输入;B.适配;C.时序仿真;D.编程下载;E.硬件测试;F.综合请选择合适的项构成基于EDA软件的FPGA/CPLD设计流程:A→___F___→___B__→____C___→D→___E____2.PLD的可编程主要基于A.LUT结构或者B.乘积项结构:请指出下列两种可编程逻辑基于的可编程结构:FPGA基于____A_____CPLD基于____B_____3.在状态机的具体实现时,往往需要针对具体的器件类型来选择合适的状态机编码。

对于A.FPGAB.CPLD两类器件:一位热码状态机编码方式适合于____A____器件;顺序编码状态机编码方式适合于____B____器件;4.下列优化方法中那两种是速度优化方法:____B__、__D__A.资源共享B.流水线C.串行化D.关键路径优化单项选择题:5.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,___D___是错误的。

A.综合就是将电路的高级语言转化成低级的,可与FPGA/CPLD的基本结构相映射的网表文件;B.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;C.综合可理解为,将软件描述与给定的'硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的。

D.综合是纯软件的转换过程,与器件硬件结构无关;6.嵌套的IF语句,其综合结果可实现___D___。

A.条件相与的逻辑B.条件相或的逻辑C.条件相异或的逻辑D.三态控制电路7.在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的。

DA.idata<=“00001111”;B.idata<=b”0000_1111”;C.idata<=X”AB”;D.idata<=B”21”;8.在VHDL语言中,下列对时钟边沿检测描述中,错误的是__D___。

eda考试题及答案

eda考试题及答案

eda考试题及答案一、选择题(每题2分,共10分)1. EDA技术中,以下哪个不是数字信号处理的步骤?A. 信号采集B. 信号放大C. 信号滤波D. 信号转换答案:D2. 在EDA中,以下哪个工具不是用于硬件描述语言的?A. VerilogB. VHDLC. MATLABD. SystemVerilog答案:C3. 以下哪个不是FPGA的配置方式?A. 主从模式B. JTAG模式C. 串行模式D. 并行模式答案:D4. 在EDA技术中,以下哪个不是逻辑门?A. 与门B. 或门C. 非门D. 异或门答案:D5. 以下哪个是EDA软件中用于时序分析的工具?A. 波形仿真B. 逻辑仿真C. 时序分析器D. 功能仿真答案:C二、填空题(每题2分,共10分)1. EDA技术的核心是______,它用于设计和验证电子系统。

答案:硬件描述语言2. 在EDA设计流程中,______是将硬件描述语言转换成逻辑电路图的过程。

答案:综合3. FPGA的全称是______,它是一种可编程的逻辑器件。

答案:现场可编程门阵列4. 在EDA中,______是一种用于模拟电路行为的工具,它可以帮助设计者验证电路设计的正确性。

答案:仿真5. 在EDA中,______是一种用于优化电路布局和布线的技术,以减少电路的延迟和功耗。

答案:布局布线三、简答题(每题10分,共20分)1. 简述EDA技术在现代电子设计中的重要性。

答案:EDA技术在现代电子设计中至关重要,因为它提供了一种高效、自动化的方式来设计、模拟和验证复杂的电子系统。

通过使用EDA工具,设计师可以快速迭代设计,减少错误,缩短产品上市时间,并提高电路的性能和可靠性。

2. 描述在EDA设计流程中,仿真测试的主要目的是什么。

答案:仿真测试的主要目的是在实际硬件实现之前验证电路设计的功能正确性和性能指标。

通过仿真,设计师可以检测和修复设计中的错误,优化电路性能,并预测电路在不同工作条件下的行为,从而确保最终产品能够满足设计规格和性能要求。

(完整word版)EDA期末考试试卷及答案

(完整word版)EDA期末考试试卷及答案

第1页(共5页)班级 学号 姓名密 封 线 内 不 得 答 题一、单项选择题(30分,每题2分) 1.以下关于适配描述错误的是 BA .适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件B .适配所选定的目标器件可以不属于原综合器指定的目标器件系列C .适配完成后可以利用适配所产生的仿真文件作精确的时序仿真D .通常,EDAL 软件中的综合器可由专业的第三方EDA 公司提供,而适配器则需由FPGA/CPLD 供应商提供2.VHDL 语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。

A .器件外部特性B .器件的综合约束C .器件外部特性与内部功能D .器件的内部功能 3.下列标识符中, B 是不合法的标识符。

A .State0B .9moonC .Not_Ack_0D .signall4.以下工具中属于FPGA/CPLD 集成化开发工具的是 DA .ModelSimB .Synplify ProC .MA TLABD .QuartusII 5.进程中的变量赋值语句,其变量更新是 A 。

A .立即完成B .按顺序完成C .在进程的最后完成D .都不对6.以下关于CASE 语句描述中错误的是 AA .CASE 语句执行中可以不必选中所列条件名的一条B .除非所有条件句的选择值能完整覆盖CASE 语句中表达式的取值,否则最末一个条件句的选择必须加上最后一句“WHEN OTHERS=><顺序语句>”C .CASE 语句中的选择值只能出现一次D . WHEN 条件句中的选择值或标识符所代表的值必须在表达式的取值范围7.以下哪个程序包是数字系统设计中最重要最常用的程序包 B A .STD_LOGIC_ARITH B .STD_LOGIC_1164C .STD_LOGIC_UNSIGNEDD .STD_LOGIC_SIGNED8.基于EDA 软件的FPGA / CPLD 设计流程为:原理图/HDL 文本输入→ A →综合→适配→时序仿真→编程下载→硬件测试。

eda考试试卷

eda考试试卷

eda考试试卷一、单项选择题(每题2分,共20分)1. EDA技术中,以下哪个不是硬件描述语言?A. VHDLB. VerilogC. C++D. SystemVerilog2. 在VHDL中,以下哪个关键字用于定义信号的初始值?A. signalB. variableC. constantD. file3. 在Verilog中,以下哪个运算符用于按位取反?A. ~B. !C. ^D. |4. EDA工具中,用于模拟数字电路行为的软件是?A. ModelSimB. QuartusC. Xilinx ISED. MATLAB5. 在数字电路设计中,以下哪个不是触发器?A. SR触发器B. JK触发器C. D触发器D. AND门6. 在VHDL中,以下哪个语句用于创建进程?A. beginB. ifC. loopD. for7. 在Verilog中,以下哪个关键字用于定义模块?A. moduleB. functionC. taskD. begin8. 在数字电路设计中,以下哪个不是组合逻辑?A. 编码器B. 计数器C. 译码器D. 多路选择器9. 在EDA技术中,以下哪个不是测试向量?A. 静态测试向量B. 动态测试向量C. 随机测试向量D. 固定测试向量10. 在数字电路设计中,以下哪个不是时序逻辑?A. 计数器B. 存储器C. 译码器D. 序列检测器二、填空题(每空1分,共20分)1. 在VHDL中,用于定义信号的关键字是________。

2. Verilog中的________运算符用于实现逻辑与操作。

3. EDA工具中的________用于生成电路的布局布线。

4. 在数字电路设计中,________是最基本的存储单元。

5. VHDL中的________语句用于定义条件执行。

6. 在Verilog中,________关键字用于定义始终块。

7. 在数字电路设计中,________是用于存储二进制信息的电路。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

一、单选题1. 对FPGA器件特点描述正确的是: ( B )A:采用EEPROM工艺 B:采用SRAM工艺C:集成度比PAL和GAL低 D:断电后配置数据不丢失2. CPLD和FPGA的不同特性( D )A:高密度 B:髙速度 C:在系统编程 D:加密3. 下面哪种VHDL库使用时不需声明( C )(A)IEEE库 (B)ASIC库 (C)WORK库 (D)ALTERA库4. STD_LOGIC_1164程序包的正确声明方法是:( C )(A)USE STD_LOGIC_1164 (B)USE IEEE.STD_LOGIC_1164(C)USE IEEE.STD_LOGIC_1164.ALL (D)USE5. 类属说明的正确格式是:( B )(A)GENERIC(delay:TIME=20us); (B)GENERIC(delay:TIME:=20us);(C)GENERIC(delay TIME=20us); (D)GENERIC(delay=TIME:=20us);6. 变量不能在VHDL程序中的哪个结构部分声明的是( A ):(A)结构体 (B)进程 (C)函数 (D)过程7. signal a:bit; signal b:bit_vector(1 downto 0);下面正确的表达式是:( C )(A)b<=a (B)a<=b (C)a<=b(0) (D)a:=b(0)8. 在VHDL的IEEE标准库中,预定义的标准逻辑位数据STD_LOGICE 有( D )种逻辑值。

A 2B 3C 8D 99. VHDL语言程序结构中必不可少的部分是:(D)(A)库(B)程序包(C)配置(D)实体和结构体10. 能反馈输出信号至内部的端口模式是( C ):(A)IN (B)OUT (C)BUFFER (D)INOUT11. VHDL语言优先级最高的运算符是( C ):(A)AND (B)OR (C)NOT (D)XOR12. signal a,b:bit; signal y:bit_vector(1 downto 0);下面正确的表达式是:(D )(A)y<=a (B)y<=b (C)y<=b and a (D)y<=b&a 13. 常量的正确格式是:(B)(A)CONSTANT Vcc:REAL=5.0 ; (B)CONSTANTVcc:REAL:=5.0 ;(C)CONSTANT Vcc REAL=5.0 ; (D)CONSTANT Vcc:=5.0 ; 14. 进程内不能定义( C ):A:常量 B:变量 C:信号 D:子程序15. 在VHDL中,用语句( D )表示检测clock的下升沿。

A clock’EVENTB clock’EVENT AND clock=’1’C clock=’1’D clock’EVENT AND clock=’0’16. VHDL程序设计结构体的基本功能是:( C )。

A. 声明结构体中将用到的数据类型、元件、常数、子程序等B. 定义本设计的输入/输出端口C. 说明本设计内部结构与外部端口间的逻辑关系D. 为本设计选定特定的结构体17. 在下列操作中,最高优先级的运算操作符是( C )。

A . AND B. OR C. NOT D. *18.进程中的变量赋值语句,其变量更新是( A )。

A. 立即完成;B. 按顺序完成;C. 在进程的最后完成;D. 都不对。

19. 在一个VHDL设计中idata是一个信号,数据类型为std_logic_vector,试指出下面( D )赋值语句是错误的。

A. idata <= “00001111”B. idata <= B”0000_1111”C. idata <= X”AB”D. idata <= B”21”20. 下述哪个语句可用于描述组合电路:( C )。

A. case_is_whenB. if_then_elsif_thenC. when_elseD. if_then21.在下列类型语句中不属顺序语句的是( C )。

A. 赋值语句B. LOOP语句C. 进程语句D. CASE语句22. 执行Quartus Ⅱ的( A )命令,可以在底层设计时创建元件的图形符号。

A. Create Default SymbolB. SimulatorC. CompilerD. Timing Analyzer23. 在VHDL语言中,用语句( B )表示检测到时钟clk的上升沿。

A. clk’eventB. rising_edge(clk)C. clk = ‘0’D. clk =’1’24. 在VHDL中为目标signal赋值符号为( B )。

A. =B. <=C. :=D. =:25. 假设输入信号a=“6”,b=“E”,则以下程序执行后,c的值为 ( B )。

entity logic isport( a,b : in std_logic_vector(3 downto 0);c : out std_logic_vector(7 downto 0));end logic;architecture a of logic isbeginc(0) <= a(0);c(2 downto 1) <= a(2 downto 1) and b(2 downto 1);c(3) <= '1' xor b(3) ;c(7 downto 4) <= "1111" when (a (2)= b(2)) else "0000";end a;A. “F8”B. “F6”C. “F7”D. “0F”二、真空1. ASIC的中文含义是:___专用集成电路_____。

2. FPGA的一般采用__ SRAM_ __工艺。

3. VHDL提供了四种端口模式:IN 、OUT、INOUT、BUFFER。

4. 结构体的描述方式主要有:行为描述、数据流描述和结构描述。

5. VHDL的数据对象有:常量、变量、信号等三种。

6. 位类型(BIT)的取值只有__0__和__1__。

7. SIGNAL b:BIT_VECTOR(6 TO 0),信号b被定义为__7 _位位宽。

8. 进程由敏感信号参数表、说明部分和顺序描述语句部分三部份构成。

9. 选择信号赋值语句的每一子句后是__逗__号,最后一句是__分__号。

10. 一个完整的VHDL程序包含:库、程序包、实体、结构体、配置五个部分。

11.编译可以检查的错误有逻辑 和语法。

12.QuratusII仿真主要分为功能、时序。

13. BLOCK内的语句是___并行___语句。

14 FPGA的一般采用__ SRAM_ __工艺。

15.对CPLD编程的目标文件扩展名为.pof ,对FPGA配置的目标文件扩展名为.sof16. 设D0为'0',D1为'1',D2为'1',D3为'0',D3 & D2 & D1 & D0的运算结果是“0110”;(D3 or D2)and(D1 and not D0)的运算结果是:‘1’。

17 VHDL的数据对象分为常数、变量和信号 3类。

18.VHDL的数据STD_LOGIC定义了9种数据,其中‘Z’表示高阻态,取值‘X’表示不确定。

19.在VHDL的端口声明语句中,端口方向包括IN 、OUT、INOUT 和BUFFER 。

20. 多个进程之间进行并行联系是通过信号来实现的。

21、VHDL的进程(process)语句是由顺序语句组成的,但其本身却是并行语句。

22.VHDL的两大基本描术语句是顺序语句和并行语句23.VHDL的子程序有两种类型,即函数和过程。

24.程序包的结构由程序包首声明和程序包体两部分组成。

25. Moore 状态机输出只依赖于器件的当前状态,与 输入信号 无关。

三 判断1. 实体(ENTITY)不是VHDL程序所必须的。

(×)2. 信号是个全局量,其赋值是立即生效的。

(×)3. 顺序语句按语句的先后顺序执行。

(√)4. 进程只有在其敏感信号发生变化时才被执行。

(×)四、功能分析1.填写程序中缺少的语句并分析下面的VHDL源程序,说明设计电路的功能。

; 答案: LIBRARY IEEE;;答案:USE IEEE.STD_LOGIC_1164.AL L;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY LX3_1 ISPORT( s2,s1,s0:IN STD_LOGICd3,d2,d1,d0:IN STD_LOGIC;d7,d6,d5,d4:IN STD_LOGIC;Y:OUT STD_ULOGIC );END LX3_1;ARCHITECTURE one OF LX3_1 ISSIGNAL S:STD_LOGIC_VECTOR(2 DOWNTO 0);BEGINs<=s2&s1&s0;y<= d0 WHEN s=”000” ELSEd1 WHEN s=”001” ELSEd2 WHEN s=”010” ELSEd3 WHEN s=”011” ELSEd4 WHEN s=”100” ELSEd5 WHEN s=”101” ELSEd6 WHEN s=”110” ELSEd7;END one;结果: 答案:8选1数据分配器2.分析下面的VHDL源程序,说明设计电路的功能.ENTITY LX3_2 ISPORT( A:IN BIT _VECTOR(3 DOWNTO 0);B: BIT _VECTOR (3 DOWNTO 0);GT,LT,EQ:OUT BIT);END LX3_2;ARCHITECTURE one OF IS;(1分) 答案:LX3_2BEGINPORCESS( ) (2分) 答案: a,bBEGINGT<=’0’;LT<=’0’;EQ<=’0’;IF a>b THEN GT<=’1’;ELSIF a<b THEN LT<=’1’;ELSE EQ<=’1’;END IF;END PROCESS;END one;1. 结果: 答案:4位数据比较器五、阅读下列VHDL程序,画出原理图(RTL级):LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY three ISPORT(clk,d : IN STD_LOGIC;dout,e : OUT STD_LOGIC );END;ARCHITECTURE bhv OF three ISSIGNAL tmp: STD_LOGIC;BEGINP1: PROCESS(clk)BEGINIF rising_edge(clk) THENTmp <= d;dout <= not tmp;END IF;END PROCESS P1;e <= tmp xor d;END bhv;答案:2. 阅读下列VHDL程序,画出相应RTL图(10分)library ieee;use ieee.std_logic_1164.all;entity lfsr isport (clk, clr, d : in std_logic;mout : out std_logic);end lfsr;architecture rtl of lfsr issignal sreg : std_logic;beginprocess(clk,clr)variable s : std_logic;beginif clr = '1' then s := ’0’;elsif rising_edge(clk) thens := sreg xor (not d);end if;sreg <= s;end process;mout <= sreg;end rtl;(三)阅读程序绘制RTL图程序清单如下:ENTITY x_or2 IS --顶层实体PORT (in1,in2:IN BIT;out1: OUT BIT);END x_or2;ENTITY not_1 ISPORT(a: IN BIT;b: OUT BIT);END not_1;architecture one of not_1 isbeginprocess(a)beginb <=NOT a after 5ns; --延时信号赋值end process;end one;ENTITY and_2 ISPORT(c,d: IN BIT;e: OUT BIT);END and_2;ARCHITECTURE two OF and_2 ISBEGINPROCESS(c,d)BEGINe<=c AND d AFTER 10ns;end PROCESS;END two;entity or_2 isport (f,g: in BIT;h: out BIT );end or_2;architecture three of or_2 isbeginprocess(f,g)beginh<=f or g after 4ns;end process;end three;architecture top_x_or2 of x_or2 is --顶层实体的结构体 signal t1,t2,t3,t4: bit; --信号说明component not_1 --本地元件引用说明 port(a:in bit;b: out bit);end component;component and_2port(c,d:in bit;e: out bit);end component;component or_2port(f,g:in bit;h: out bit);end component;beginu0: not_1 port map(in1,t1); --元件例化语句u1: not_1 port map(a=>in2,b=>t2);u2: and_2 port map(t1,in2,t3);u3: and_2 port map(c=>in1,d=>t2,e=>t4);u4: or_2 port map(f=>t3, g=>t4,h=>out1);end top_x_or2;答案:六、VHDL程序填空:下面程序是一个16位数控分频器的VHDL描述,试补充完整LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE ___ IEEE.STD_LOGIC_UNSIGNED.ALL____________; ENTITY PULSE16 ISPORT ( CLK : IN STD_LOGIC;LOAD : IN STD_LOGIC;D : IN ___STD_LOGIC_VECTOR(15 DOWNTO 0);FOUT : OUT STD_LOGIC );END;_ARCHITECTURE__ one OF PULSE16 ISSIGNAL FULL : STD_LOGIC;BEGINP_REG: PROCESS(CLK)Variable__ CNT16 : STD_LOGIC_VECTOR(15 DOWNTO 0);BEGINIF CLK'EVENT AND CLK = '1' THENIF ___load=’1’____ THEN -- LOAD高电平置数CNT16 := D; FULL <= '0';ELSEIF CNT16 = "1111111111111111" THENCNT16 := D;FULL <= '1';ELSECNT16 := cnt16+’1’_; -- 计数加1FULL <= '0';END IF;END IF;END IF __;END PROCESS P_REG;P_DIV: PROCESS(__FULL _) -- 溢出信号为敏感信号 VARIABLE CNT2 : STD_LOGIC;BEGINIF ___FULL’event and full=’1’ THEN -- FULL上升沿判断 CNT2 := NOT CNT2;FOUT <= CNT2;END IF;END PROCESS P_DIV;END;七、VHDL程序改错:仔细阅读下列程序,回答问题(一)阅读下列程序改错LIBRARY IEEE; -- 1USE IEEE.STD_LOGIC_1164.ALL; -- 2ENTITY LED7SEG IS -- 3PORT ( A : IN STD_LOGIC_VECTOR(3 DOWNTO 0); -- 4 CLK : IN STD_LOGIC; --5LED7S : OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); -- 6 END LED7SEG; -- 7 ARCHITECTURE one OF LED7SEG IS -- 8SIGNAL TMP : STD_LOGIC; --9BEGIN -- 10SYNC : PROCESS(CLK, A) --11BEGIN -- 12 IF CLK'EVENT AND CLK = '1' THEN --13TMP <= A; -- 14 END IF; --15END PROCESS; -- 16 OUTLED : PROCESS(TMP) -- 17 BEGIN -- 18 CASE TMP IS --19WHEN "0000" => LED7S <= "0111111"; --20WHEN "0001" => LED7S <= "0000110"; --21WHEN "0010" => LED7S <= "1011011"; --22WHEN "0011" => LED7S <= "1001111"; --23WHEN "0100" => LED7S <= "1100110"; --24WHEN "0101" => LED7S <= "1101101"; --25WHEN "0110" => LED7S <= "1111101"; --26WHEN "0111" => LED7S <= "0000111"; --27WHEN "1000" => LED7S <= "1111111"; --28WHEN "1001" => LED7S <= "1101111"; --29END CASE; -- 30 END PROCESS; -- 31 END one;1. 在程序中存在两处错误,试指出,并说明理由:在Quartus II中编译时,提示的错误为:Error: Line 14: File f:\upload\eda\maxplusii\my_proj\s8_5\led7seg.vhd: Type error: type in waveform element must be "std_ulogic"Error: Line 19: File f:\upload\eda\maxplusii\my_proj\s8_5\led7seg.vhd: VHDL syntax error: expected choices in case statement参考答案:第14行的错误是:数据类型不一致第19行的错误是:29行与30行之间缺少一句剩余情况的处理语句2. 修改相应行的程序(如果是缺少语句请指出大致的行数):错误1 行号: 9 程序改为:SIGNAL TMP :STD_LOGIC_VECTOR(3 DOWNTO 0)错误2 行号:29后程序改为:29行与30行之间添加 others=>null(二)阅读下列程序改错LIBRARY IEEE; -- 1 USE IEEE.STD_LOGIC_1164.ALL; -- 2 ENTITY MOOR IS -- 3 PORT ( DATAIN: IN STD_LOGIC_VECTOR(1 DOWNTO 0); -- 4 CLK, RST : IN STD_LOGIC; -- 5Q: OUT STD_LOGIC_VECTOR(2 DOWNTO 0) ); -- 6END MOOR; -- 7 ARCHITECTURE BEHAV OF MOOR IS -- 8 SIGNAL ST_TYPE IS (ST0, ST1, ST2); -- 9 SIGNAL C_ST : ST_TYPE; -- 10 BEGIN --11PROCESS (CLK, RST) -- 12 BEGIN --13IF RST = '1' THEN C_ST <= ST0; Q <= "0000"; -- 14ELSIF CLK'EVENT AND CLK = '1' THEN -- 15CASE C_ST IS -- 16 WHEN ST0 => IF DATAIN = "10" THEN C_ST <= ST1; -- 17ELSE C_ST <= ST0; -- 18 END IF; Q <= "1001"; -- 19 WHEN ST1 => IF DATAIN = "11" THEN C_ST <= ST2; -- 20ELSE C_ST <= ST1; -- 21END IF; Q <= "0101"; -- 22 WHEN ST2 => IF DATAIN = "01" THEN C_ST <= ST3; -- 23ELSE C_ST <= ST0; -- 24END IF; Q <= "1100"; -- 25 END CASE; -- 36 END IF; -- 27 END PROCESS; --28END BEHAV; --29在Quartus II中编译时,其中两个提示的错误为:Error: Line 9:VHDL syntax error: signal declaration must have ’:’, but found IS insteadError: Line 10:Identifier error: identifier ”ST_TYPE” has not been declared在程序中存在三处错误,试指出出错对应的行数,并说明理由:第6行,数据宽度不符合第9行,状态机数据类型声明错误,关键字应为TYPE第32行,case语句缺少when others处理异常状态情况修改相应行的错误程序(如果是缺少语句请指出对应的行数):错误1 行号:6程序改为:q:out std_logic_vector(3 downto 0) );错误2 行号:9程序改为:SIGNAL 改为 TYPE错误3 行号:32程序改为:之前添加一句when others => c_st <= st0;八. 看下面原理图,补充完相应VHDL描述DFF为上升沿触发,LATCH为高电平选通。

相关文档
最新文档