基于数字电路的交通灯设计

基于数字电路的交通灯设计
基于数字电路的交通灯设计

电子技术课程设计报告

课程名称电子技术课程设计

设计题目交通信号灯控制器

所学专业名称电子信息工程

班级电信1班

学号2013210xxx

学生姓名张XX

指导教师XXX

2015年6月1日

电子技术课程设计

任务书

设计(论文)名称:交通信号灯控制器

系(部)、专业:电气学院电子信息工程学生姓名:张XX

指导教师:XXX 下达时间:2015年5月25日

一、课程设计应达到的目的:

设计

①设计一个十字路口交通灯控制电路,要求甲车道和乙车道两条

交叉道路上的车辆交替运行,每次通行时间25秒;

②要求黄灯先亮5秒,才能变换运行车道;

③黄灯亮时要求每秒闪亮一次。

二、课程设计任务和基本要求

设计任务:

①设计一个十字路口交通灯控制电路,要求甲车道和乙车道两条

交叉道路上的车辆交替运行,每次通行时间25秒;

②要求黄灯先亮5秒,才能变换运行车道;

③黄灯亮时要求每秒闪亮一次。

基本要求:

①用交通灯控制十字路口的车辆通行,绿灯通行,黄灯缓行,红灯停止,

每次通行25秒,则要求绿灯每次亮25秒。

②绿灯亮25秒后变成黄灯,黄灯再亮5秒,当甲车道亮绿灯时,乙车道红灯亮,那么当甲车道亮黄灯时,车辆缓行,此时乙车道不能通行,故亮红灯,所以红灯亮30秒。

③黄灯闪亮,只需将黄灯的控制信号与时间的秒信号相与即可。

目录

摘要: (3)

第一章设计电路 (4)

1.1 设计任务与要求 (4)

1.2 设计分析 (4)

第二章总体设计方案 (5)

2.1 电路状态分析 (5)

2.2 时间控制分析 (5)

第三章单元电路设计 (6)

3.1 时标电路 (6)

3.2 分频电路 (6)

3.3核心控制电路及显示 (7)

3.4倒计时显示电路 (8)

第四章电路总图 (9)

第五章元件清单 (10)

第六章心得体会 (10)

参考文献 (11)

芯片引脚与功能表 (11)

交通信号灯控制器

摘要:

在日常生活中,交通灯作为管理交通、调协车辆的一个便捷的手段,起着很大的作用。各种交通工具、行人都要根据交通灯的变化来决定是否前行,通

行的时间的规定协调了它们的步伐,极大的减少了由于交通混乱引起的各种事故的发生。因此,一个完善的交通系统中,交通灯是必不可少的设备,一个完善的交通灯程序会更有效的管理当前道路中出现的实际情况,使车辆、行人的行进变得更顺畅、更和谐。

第一章设计电路

1.1 设计任务与要求

①设计一个十字路口交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间25秒;

②要求黄灯先亮5秒,才能变换运行车道;

③黄灯亮时要求每秒闪亮一次。

1.2 设计分析

①用交通灯控制十字路口的车辆通行,绿灯通行,黄灯缓行,红灯停止,每次通行25秒,则要求绿灯每次亮25秒。

②绿灯亮25秒后变成黄灯,黄灯再亮5秒,当甲车道亮绿灯时,乙车道红灯亮,那么当甲车道亮黄灯时,车辆缓行,此时乙车道不能通行,故亮红灯,所以红灯亮30秒。

③黄灯闪亮,只需将黄灯的控制信号与时间的秒信号相与即可。

1.3 设计原理与框图

为了确保十字路口的车辆顺利、通畅地通过,往往都采用自动控制的红、黄、绿的交通灯来进行指挥。其中红灯亮,表示该道路禁止通行;黄灯亮表示该道路上未过停车线的车辆禁止通行,已过停车线的车辆缓慢通行;绿灯表示该道路允许通行。

交通灯的控制系统框图如图1-1所示:

它主要由控制器、分频器、倒计时器和时标等部分组成。时标以秒脉冲发生器产生,倒计时器显示两组信号灯的控制时间,分频器将时标秒信号进行五分频,并将信号提供给控制器,控制器是系统的主

要部分,由它控制倒计时器,和红绿黄灯的显示情况。

第二章总体设计方案

2.1 电路状态分析

(1)两车道的运行状态共4种,如表2-1所示

表2-1 控制器工作状态及其功能

表中设南北方向的红、黄、绿灯分别为NSR, NSY,NSG; 东西方向的红、黄、绿灯分别为EWR, EWY, EWG。

它们的工作方式,有些必须是并行进行的,即南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向黄灯亮。

应满足两个方向的工作时序,即东西方向亮红灯的时间应等于南北方向亮黄、绿灯时间之和。同理,南北方向亮红灯的时间应等于东西方向亮黄、绿灯时间之和。本方案取时间t=5s,则南北、东西方向亮绿、黄、红灯时间分别为25s、5s、30s。其中红灯亮的时间为绿灯、黄灯亮的时间之和,黄灯是间歇闪耀。

2.2 时间控制分析

(2)十字路口要有数字显示作为时间提示,以方便人们更直观地把握时间。

具体应为当某方向绿灯亮时,置显示器为某值,然后以每秒减1计数方式,直至减到数为“0”,十字路口红、绿灯交换,一次工作循环结束,而进入下一步某方向的工作循环。例如当南北方向从红灯转换成绿灯时,置南北方向数字显示为30,并使计数器开始减“1”计数。当减到绿灯灭而黄灯亮(闪耀)时,数显示应为5,当减到“0”时,此时黄灯灭,而南北方向的红灯亮;同时,使得东西方向的绿灯亮,并置东西方向的数显为30。

时序工作流程图如图2-2所示:

图2-2

(3)时标直接由集成的时钟信号源提供1s的单位秒脉冲;倒计时器由4块74LS192两两组合,分别记录南北、东西方向的通行情况,数字显示由四块集成的4输入端数码管承担;时间t=5s,有74LS161的五分频信号提供;控制器由2块74LS194组成扭环行12进制计数器,提供红黄绿灯的控制信号,再由集成的虚拟交通灯模拟红黄绿的显示情况。

第三章单元电路设计

3.1 时标电路

秒脉冲由如图3-1所示的集成时钟信号源提供,本方案信号源采用5V的电压,它是整个电路的基准时间信号,它的秒脉冲直接提供给分频器74LS161,并触发其工作。(也可以采用555定时器来提供秒脉冲信号)

图3-1

3.2 分频电路

该分频电路为五分频,具体设计情况如图3-2所示:

5V

图3-2

4位同步二进制计数器74LS161的封装及功能表见附录,将芯片的始能端ENP、ENT,清零端(低电平有效),电源端接5V(高电平),输出端QC五进制的进位端通过74LS04非门反馈给置数端LOAD(低电平有效),输入端A、B、C、D均接地(低电平),计数器从0开始计时,当计数到4时,输出端QC 为1(高电平),此时置数端LOAD有效,输入端重新置为0,如此循环,计时器反复从0-4计五个数,该过程中CLK,QA,QB,QC的波形依次如图3-3所示:

图3-3

由波形图可知图3-2中的计数器是下降沿触发的,QB,QC均为五分频信号,本方案中选择QC 信号并输入给下级的核心控制电路,作为74LS194的触发信号。

3.3核心控制电路及显示

控制电路部分由两块74LS194组成扭环行12进制计数器,然后经74LS04的非门与74LS08的与门译码,输出给十字路口南北、东西两个方向的信号灯,其中的黄灯信号与时标秒信号相与即可获得每秒闪亮一次的信号。扭环行计数器的状态表如下所示

根据状态表,可列出东西、南北方向绿、黄、红灯的逻辑表达式:

东西方向绿:EWG=Q4·Q5’

黄:EWY=Q4’·Q5(EWY’=EWY·CP)

红:EWR=Q5’

南北方向绿:NSG=Q4’·Q5

黄:NSY=Q4·Q5’(NSY’=NSY·CP)

红:NSR=Q5

具体电路图3-4设计如图所示:

图3-4

74LS194四位双向移位寄存器的引脚与功能表见附录,将其中一片74LS194的QD接至另一片的SR,将另一片的QA接到这片的SL,同时把两片的S1、S0、CLK和~CLR分别并联即可变成8位的双向移位寄存器,如上图所示电路只采用右移功能,故只将左边的74LS194的QD接至右边的SR,再降右边输出端的QB接方向器非门反馈给左边的SR,作为右移的输入信号,从而行成扭环12进制计数器,另上图两片74LS194输出端的QA、QB、QC、QD从左至右分别为Q0、Q1、Q2、Q3、Q4、Q5、Q6、Q7、Q8。按东西、南北方向绿、黄、红灯的逻辑表达式连接成上图所示电路的右边部分,黄灯闪亮则需将EWY和NSY分别与时标信号相与。图中上面的交通灯模拟东西方向,下面的则模拟南北方向。

另外,S0、~CLR接高电平,S1接低电平,CLK接上级的五分频信号。并将东西、南北方向的黄灯信号与时标秒脉冲相与 (EWY’=EWY·CP和NSY’=NSY·CP) 的反向信号分别输出给下级南北、东西方向倒计时器的置数端,东西、南北反向的红灯信号和时标秒脉冲的与信号分别输出给下级南北、东西方向倒计时器,作为触发信号。

3.4倒计时显示电路

电路具体设计如图3-5所示,左边两块74LS192和集成数码管记录和显示南北方向红灯亮的时间,右边两块74LS192和集成数码管记录和显示东西方向红灯亮的时间。将低位74LS192的借位输出端(~BO)和进位输出端(~CO)分别接至高位的减计数时钟输入端(DOWN)和加计数时钟输入端(UP),组成两位十进制计数器。本计数器用来倒计时,将减计数时钟输入端(DOWN),接上级相应控制电路红灯信号和时标秒脉冲的与信号,低位的加计数时钟输入端(UP)接5V的高电平,置数端接上级相应控制电路黄灯信号与时标秒脉冲相与的反向信号,置数输入端都置成30,异步清零(CLR)接地(低电平)。

图3-5

第四章电路总图

第五章元件清单

第六章心得体会

刚开始看到电子设计的15个题目,我顿时感觉跃跃欲试,因为做了老久的实验。对各个集成块的各个管脚都大致有了了解;每次做实验我差不多都能一次完成及很好的完成接线任务;同学们说我做的不错。所以更想做此次的电设。当我看到交通灯控制器这个题目时,我情不自禁的就选择了它。因为我对交通灯怎么通行的很是好奇。并且想通过自己掌握的知识设计出一个能完成基本任务的交通灯。然后我开始慢慢的查找资料。进行设计环节。借阅了有关Multisim仿真软件的书籍。基本了解了怎么添加元件,修改元件,以及怎么仿真。设计过程没有想象的那么简单。在倒计时电路时遇到了些麻烦,所以花了比较多的时间在上面。程老师要求说我们可以做出实物也可以交仿真文件。我感觉能做出仿真电路已经并非易事,要在去做出实物。那就更难了,总的来说此次设计,辛苦也有,失望也有,最重要的是我学习到了很多。可能更加加深了我对本专业的热爱,以及立志要成为一名优秀的硬件工程师的决心!

参考文献

[1] 《数字电子技术基础》/阎石主编;清华大学电子学教研组编.-5版.-北京:高等教育出版社,2006.5(2010重印)

[2]《电子电路的Multisim仿真实践》/刘贵栋主编.-哈尔滨:哈尔滨工业大学出版社,2007.9

[3]《数字电路与可编程技术实验教程》/吴俊鹏,孟昭林,附小晶主编—哈尔滨:哈尔滨工程大学出版社,2007.3

[4]《电子技术基础实验与仿真》/孙胜麟,郭照南主编—长沙:中南大学出版社,2008.10

附录:

芯片引脚与功能表

① 4位同步二进制计数器74LS161的引脚及功能表

②双向移位寄存器74LS194的引脚与功能表

③双时钟十进制同步加/减计数器74LS192引脚图与功能表

数字电路课程设计交通灯

数字逻辑电路设计 课程设计报告 系(部):三系 专业:通信工程 班级: 2011级<1>班 姓名:陈 学号: 201103061 成绩: 指导老师:李海霞 开课时间: 2012-2013 学年二学期

一、设计题目 交通信号灯控制器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、具体要求 (一)、交通灯信号控制器仿真设计 设计要求 (1)设计一个十字路口的交通灯控制电路,要求东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为35s。时间可 设置修改。 (2)在绿灯转为红灯时,要求黄灯先亮5s,才能变换运行车道。 (3)黄灯亮时,要求每秒闪亮一次。 (4)东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示。 (5)假定+5V电源给定。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写

五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成: 1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

数字电路与逻辑设计模拟题

《数字电路与逻辑设计》模拟题(补) 一. 选择题(从四个被选答案中选出一个或多个正确答案,并将代号写在题中的括号内) 1.EEPROM 是指( D ) A. 随机读写存储器 B. 一次编程的只读存储器 C. 可擦可编程只读存储器 D. 电可擦可编程只读存储器 2.下列信号中,( B C )是数字信号。 A .交流电压 B.开关状态 C.交通灯状态 D.无线电载波 3.下列中规模通用集成电路中,( B D )属于时序逻辑电路. A.多路选择器74153 B.计数器74193 C.并行加法器74283 D.寄存器74194 4.小数“0”的反码形式有( A D )。 A .0.0……0 B .1.0……0 C .0.1……1 D .1.1……1 5.电平异步时序逻辑电路不允许两个或两个以上输入信号(C )。 A .同时为0 B. 同时为1 C. 同时改变 D. 同时作用 6.由n 个变量构成的最大项,有( D )种取值组合使其值为1。 A. n B. 2n C. n 2 D. 12-n 7.逻辑函数∑= )6,5,3,0(),,(m C B A F 可表示为( B C D ) 。 A.C B A F ⊕⊕= B.C B A F ⊕⊕= C.C B A F ⊕⊕= D.C B A F ⊙⊙= 8.用卡诺图化简包含无关条件的逻辑函数时,对无关最小项( D )。 A .不应考虑 B.令函数值为1 C .令函数值为0 D .根据化简的需要令函数值为0或者1 9.下列逻辑门中,( D )可以实现三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 10.设两输入或非门的输入为x 和y ,输出为z ,当z 为低电平时,有( A B C )。 A .x 和y 同为高电平 B . x 为高电平,y 为低电平 C .x 为低电平,y 为高电平 D . x 和y 同为低电平 11.下列电路中,( A D )是数字电路。 A .逻辑门电路 B. 集成运算放大器 C .RC 振荡电路 D. 触发器 12.在下列触发器中,输入没有约束条件的是( C D )。 A.时钟R-S 触发器 B.基本R-S 触发器 C.主从J-K 触发器 D.维持阻塞D 触发器 13.标准与-或表达式是由( B )构成的逻辑表达式。 A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 14.设计一个模10计数器需要( B )个触发器。 A . 3 B. 4 C .6 D .10 15.表示任意两位无符号十进制数至少需要( B )二进制数。 A .6 B .7 C .8 D .9 16.4线-16线译码器有( D )输出信号。 A . 1 B. 4 C .8 D .16

交通灯控制逻辑电路设计实验报告

《数字设计》课程实验报告 实验名称:交通灯控制逻辑电路的设计与仿真实现 学员:学号: 培养类型:年级: 专业:所属学院: 指导教员:职称: 实验室:实验日期:

交通灯控制逻辑电路的设计与仿真实现 一、实验目的: 1. 熟悉Multisim仿真软件的主要功能和使用。 2. 熟悉各种常用的MSI时序逻辑电路的功能和使用。 3. 运用逻辑设计知识,学会设计简单实用的数字系统。 二、实验任务及要求: 1.设计一个甲干道和乙干道交叉十字路口的交通灯控制逻辑电路。每个干道各一组指示灯(红、绿、黄)。要求:当甲干道绿灯亮16秒时,乙干道的红灯亮;接着甲干道的黄灯亮5秒,乙干道红灯依然亮;紧接着乙干道的绿灯亮16秒,这时甲干道红灯亮;然后乙干道黄灯亮5秒,甲干道红灯依然亮;最后又是甲干道绿灯亮,乙干道变红灯,依照以上顺序循环,甲乙干道的绿红黄交通指示灯分别亮着。 2.要求: (1)分析交通灯状态变换,画出基于格雷码顺序的交通灯控制状态图。 (2)设计时序逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (3)设计组合逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (4)用74LS161计数器构造16秒定时和5秒定时的定时电路,画出连线图。在Multisim仿真平台上,选用74LS161芯片连线,测试验证,将电路调试正确。 (5)在Multisim仿真平台上形成整个系统完整的电路,统调测试结果。三、设计思路与基本原理: 依据功能要求,交通灯控制系统应主要有定时电路、时序逻辑电路及信号灯转换器组合逻辑电路组成,系统的结构框图如图1所示。其中定时电路控制时序逻辑电路状态的该表时间,时序逻辑电路根据定时电路的驱动信号而改变状态,进而通过组合逻辑电路控制交通灯系统正常运行。 在各单元电路的设计顺序上,最先设计基础格雷码顺序的交通灯控制状态图,由此确定时序逻辑电路的设计,并完成该部分电路的调试。接着在设计好时序路逻辑电路的基础上,根据状态输出设计组合逻辑电路,并完成该部分的调试。最后完成定时电路的设计与调试。整合电路,形成整个系统完整的电路,统调测试结果。

数字电路与逻辑设计实验实验四

中山大学南方学院 电气与计算机工程学院 课程名称:数字电路与逻辑设计实验实验题目:译码显示电路

附:实验报告 专业:电子信息科学与技术年级:18 完成日期:2020年7月05日学号:182018010 姓名:叶健行成绩: 一、实验目的 (一)掌握中规模集成译码器的逻辑功能和使用方法。 (二)熟悉数码管的使用。 二、实验原理 (一)数码显示译码器 1、七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图1 (a)、(b)为共阴管和共阳管的电路,(c)为两种不同出线形式的引出脚功能图。 一个LED数码管可用来显示一位0~9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。 (a) 共阴连接(“1”电平驱动)(b) 共阳连接(“0”电平驱动)

(c) 符号及引脚功能 图1 LED 数码管 2、BCD 码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用74LS48 BCD 码锁存/七段译码/驱动器。驱动共阴极LED 数码管。图2为74LS48引脚排列。 其中 A 、B 、C 、D — BCD 码输入端 a 、 b 、 c 、 d 、 e 、 f 、 g — 译码输出端,输出“1”有效,用来驱动共阴极LED 数码管。 LT — 灯 测试输入端,LT =“0”时,译码输出全为“1” BI R — 灭 零 输入端,BI R =“0”时,不显示多余的零。 RBO /BI — 作为输入使用时,灭灯输入控制端; 作为输出端使用时,灭零输出端。 (二)扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD 码,如图(三)所示。图中的Ds 称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1高电平送出千位数,Ds2高电平送出百位数,……一般Ds 的高电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片 LED

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

数电课程设计交通灯

数字电路课程设计报告书 系部名称:电子工程学院 学生姓名: 专业名称:微电子 班级: 实习时间: 题目:交通灯控制器

一实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件的原理及其应用,锻炼自己的动手能力和实际解决问题的能力。 2.深入了解交通灯的工作原理。 二实验要求 1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯。 2)设置一组数码管,以计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间为20秒,另一个方向上绿灯亮的时间是30秒,黄灯亮的时间都是5秒。 3)当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止,当特殊情况结束后,按下自动控制开关,恢复正常状态。 三使用元件 器件型号数量器件型号数量 161 2 LED 6 08 2 电阻4.7K 1 04 3 电阻150K 1 00 1 电阻100欧姆 1 48 2 电容4.7uF 1 74 1 电容103 1 555 1 数码管 2 面包板 1 斜口钳 1 四总体方案的设计 1.分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图所示。它主要由控制器、定时器和秒

脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,控制器是系统的主要部分,由它控制定时器,数码管和二极管的工作。 2.分析系统的状态变化,列出状态转换表: (1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行, 支干道禁止通行。 (2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。 (3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行。 主控部分 秒脉冲发生 器 交通灯 倒计时控制部分 数码管显示 反馈 控制

数字电路设计实例

数字电路综合设计案例 8.1 十字路口交通管理器 一、要求 设计一个十字路口交通管理器,该管理器自动控制十字路口两组红、黄、绿三色交通灯,指挥各种车辆和行人安全通过。 二、技术指标 1、交通管理器应能有效操纵路口两组红、黄、绿灯,使两条交叉道路上的车辆交替通行,每次通行时间按需要和实际情况设定。 2、在某条道路上有老人、孩子或者残疾人需要横穿马路时,他们可以举旗示意, 执勤人员按动路口设置的开关,交通管理器接受信号,在路口的通行方向发生转换时,响应上述请求信号,让人们横穿马路,这条道上的车辆禁止通行,即管理这条道路的红灯亮。 3、横穿马路的请求结束后,管理器使道口交通恢复交替通行的正常状态。 三、设计原理和过程: 本课题采用自上而下的方法进行设计。 1.确定交通管理器逻辑功能 ⑴、十字路口每条道路各有一组红、黄、绿灯,用以指挥车辆和行人有序地通行。其中红灯亮表示该条道路禁止通行;黄灯亮表示停车;绿灯亮表示通行。因此,十字路口车辆运行情况有以下几种可能: ①甲道通行,乙道禁止通行; ②甲道停车线以外的车辆禁止通行(必须停车),乙道仍然禁止通行,以便让甲道停车线以内的车辆安全通过; ③甲道禁止通行,乙道通行; ④甲道仍然不通行,乙道停车线以外的车辆必须停车,停车线以内的车辆顺利通行。 ⑵、每条道路的通车时间(也可看作禁止通行时间)为30秒~2分钟,可视需要和实际情况调整,而每条道路的停车时间即黄灯亮的时间为5秒~10秒,且也可调整。 ⑶、响应老人、孩子或残疾人特殊请求信号时,必须在一次通行—禁止情况完毕后, 阻止要求横穿的那条马路上车辆的通行。换句话说,使另一条道路增加若干通行时间。 设S1和S2分别为请求横穿甲道和乙道的手控开关,那么,响应S1或S2的时间必定在甲道通乙道禁止或甲道禁止乙道通两种情况结束时,且不必过黄灯的转换。这种规定是为了简化设计。 由上述逻辑功能,画出交通管理器的示意图如图8-1所示,它的简单逻辑流程图如图8-2所示。示意图中甲道的红、黄、绿灯分别用R、Y、G表示,而乙道的红、黄、绿灯分别用r、y、g表示。简单逻辑流程图中设定通行(禁止)时间为60秒,停车时间为10秒。

数字电路与逻辑设计习题-2016

数字电路与逻辑设计习题-2016

- 2 - 一、选择题 1. 以下表达式中符合逻辑运算法则的是 D 。 A.C ·C=C 2 B.1+1=10 C.0<1 D.A+1=1 2. 一位十六进制数可以用 C 位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 3. 当逻辑函数有n 个变量时,共有 D 个变量取值组合? A. n B. 2n C. n 2 D. 2n 4. 逻辑函数的表示方法中具有唯一性的是 A 。 A .真值表 B.表达式 C.逻辑图 D.状态图 5. 在一个8位的存储单元中,能够存储的最大无符号整数是 D 。 A.(256)10 B.(127)10 C.(128)10 D.(255)10 6.逻辑函数F=B A A ⊕⊕)( = A 。 A.B B.A C.B A ⊕ D. B A ⊕ 7.求一个逻辑函数F 的对偶式,不可将F 中的 B 。 A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量 C.变量不变 D.常数中“0”换成“1”,“1”换成“0” 8.A+BC= C 。

A .A+ B B.A+ C C.(A+B)(A+C) D.B+C 9.在何种输入情况下,“与非”运算的结果是 逻辑0。 D A.全部输入是0 B.任一输入是0 C. 仅一输入是0 D.全部输入是1 10.在何种输入情况下,“或非”运算的结果 是逻辑1。 A A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为 1 11.十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 12.不与十进制数(53.5)10等值的数或代码 为 C 。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.11)2 D.(65.4)8 13.以下参数不是矩形脉冲信号的参数 D 。 A.周期 B.占空比 C.脉宽 D.扫 描期 14.与八进制数(47.3)8等值的数为: B A. (100111.0101)2 B.(27.6)16 C.(27.3 )16 D. (100111.101)2 15. 常用的BCD码有 D 。 A.奇偶校验码 B.格雷码 C.ASCII码 D.余三码 - 3 -

数字电路交通灯设计说明

WuYi University 数电课程设计 题目:两路交通灯设计 院系:电子工程系 专业:电子信息工程 班级:电信(2)班 学号: 20094082041 学生:周磊 指导教师:有机

2011年6月 两路交通灯设计 摘要 本文主要对此次数电开放实验进行总结性报告,罗列了电路的电路原理与流程图、硬件结构设计、焊接与调试。对于出现的问题进行解释说明其一系列后续工作的介绍。 Abstract In this paper, the number of power open to this experiment summary report, a list of the circuit schematic and flow diagram, hardware design, welding and debugging. For the problems to explain a series of follow-up work on its introduction. 1.绪论 交通信号灯与我们的生活紧密相连,设计交通灯不仅具有实用性,还加深了本人对数字电路理论知识的理解。通过这次动手实验,死板的课本知识就融入到动手能力中去了。 《数字电路》是一门发展迅速,实践性很强的电子技术专业基础课程。由于数字电子技术具有很强的灵活性,我们的日常生活已经越来越离不开它了。用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。现代的数字电路由半导体工艺制成的若干数字集成器件构造而成。逻辑门是数字逻辑电路的基本单元。存储器是用来存储二值数据的数字电路。从整体上看,数字电路可以分为组合逻辑电路和时序逻辑电路两大类。数字电路的发展与模拟电路一样经历了由电子管、半导体分立器件到集成电路等几个时代。但其发展比模拟电路发展的更快。从60年代开始,数字集成器件以双极型工艺制成了小规模逻辑器件。随后发展到中规模逻辑器件;70年代末,微处理器的出现,使数字集成电路的性能产生质的飞跃。 由于数字电路技术的逻辑性很强,用它来设计交通灯的控制系统很容易实现。只要数字集成块在一定围输入,都能得到确定的输出,调试起来也比较容,电路的工作状态会比较稳定。 这次的设计就是通过一些基本的数字芯片组合来实现对十字路口交通等的六个不同信号灯的控制,另外还加以倒计时数码管显示。以做到十字路口信号灯的仿真模型,这个电路的设计看似较为复杂,其实就是一些基本的数字电路组成。只要将整个电路的基本方向确定下来,画出电路流程图,在对各项功能进行设计,一步步突破,最后进行整理总结。

交通灯实验报告——数字电路

一、用中规模器件设计交通灯控制器 一、任务要求 1)通过数字电路的设计,在面包板上模拟交通红绿灯。要求分主干道和支干道,每条道上安装红(主R,支r)绿(主G,支g)黄(主Y,支y)三种颜色的灯,由四种状态自动循环构成(G、r→Y、r→R、g→R、y); 2)在交通灯处在不同的状态时,设计一计时器以倒计时方式显示计时,并要求不同状态历时分别为:G、r:30秒;R、g:20秒;Y、r,R、y:5秒。 二、总体方案 三、单元电路设计 1)主控电路 在设计要求中要实现四种状态的自动转换,首先要把这四种状态以数字的形态表示出来。因2*2=4,所以可以两位二进制数表示所需状态(00—G、r, 01—Y、r, 10—R、g, 11—R、y),循环状态:(00—10—11—01—00) 数字电路课程中介绍的计数器就是通过有限几个不同状态之间的循环实现不同模值计数,由此可以尝试设计一模值为4的计数器,其输出(代表不同状态)既可以循环转换,而且能够控制其他部分电路。在课程设计中本人利用74LS74(双上升沿D触发器)设计模4计数器作为主控部分电路。 主控电路图如下:

红绿灯显示电路接线如下: 4)计时部分电路 a)计时器状态产生模块: 设计要求对不同的状态维持的时间不同,而且要以十进制倒计时显示出来。限于实验 室器材本人采用两个74LS161完成计时器状态产生模块设计。 设计思路: 要以十进制输出,而又有一些状态维持时间超过10秒,则必须用两个74LS161分别 产生个位和十位的数字信号。显然,计数器能够完成计时功能,我们可以用74LS161设计, 并把它的时钟cp接秒脉冲。74LS161计数器是采用加法计数,要想倒计时,则在74LS161 输出的信号必须经过非门处理后才能接入数码管的驱动74LS48,而在显示是最好以人们 习惯的数字0---9显示计时,故在设计不同模值计数器确定有效状态时,本人以0000,0001,0010-----1111这些状态中靠后的状态为有效状态。 例如:有效状态1011—1100—1101—1110—1111 取反0100—0011—0010—0001—0000即4------3------2-------1------0实现模5的倒计时。 在将74LS161改装成其他模值时既可以采用同步清零法,也可采用异步置数法,但 0000不可能为有效状态,所以采用异步置数法完成不同模值转化的实现。 首先对控制个位输出的74LS161设计: 按要求对系统的状态不同,即红绿灯的状态不同,个位的进制也就要求不同。本人利 用系统的状态量Q 2、Q 1 控制74LS161的置数端D 3 D 2 D 1 D 。当系统处在G、r或R、g状态时, 个位的进制是十(模10),即逢十进一,当系统处在Y、r或R、y状态时,个位的进制是 五(模5),即逢五进一,模10时,有效状态为0110-----1111,置D 3D 2 D 1 D 为0110,模5

数字电路与逻辑设计实验总结报告

第二次实验是Quartus11原理图输入法设计,由于是第一次使用Quartus11软 件,实验中遇到了不少问题,总结起来主要有以下几个: (1)在创建工程并且编译通过之后得不到仿真波形 解决方法:经过仔细检查,发现在创建符号文件时,未对其重新命名,使得符号文件名与顶层文件的实体名一样。在改变符号文件名之后成功的得到了仿真波形。 (2)得到的仿真波形过于紧密不便于观察 解决方法:重新对仿真域的时间进行设定,并且对输入信号的周期做相应的调整,最终得到了疏密有致的仿真波形。 实验总结及心得体会 通过本次实验我初步掌握了Quartus11的使用方法,并且熟悉了电路板的使用。在实验具体操作的过程中,对理论知识(半加器和全加器)也有了更近一步的理解,真正达到了理论指导实践,实践检验理论的目的。 实验操作中应特别注意的几点: (1)刚开始创建工程时选择的目标芯片一定要与实验板上的芯片相对应。 (2)连接电路时要注意保证线与端口连接好,并且注意不要画到器件图形符号的虚线框里面。 (3)顶层文件的实体名只能有一个,而且注意符号文件不能与顶层文件的实体名相同。 (4)保存波形文件时,注意文件名必须与工程名一致,因为在多次为一个工程建立波形文件时,一定要注意保存时文件名要与工程名一致,否则不能得到正确的仿真结果。 (5)仿真时间区域的设定与输入波形周期的设定一定要协调,否则得到波形可能不便于观察或发生错误。 心得体会:刚接触使用一个新的软件,实验前一定要做好预习工作,在具体的实验操作过程中一定要细心,比如在引脚设定时一定要做到“对号入座”,曾经自己由于这一点没做好耗费了很多时间。实验中遇到的各种大小问题基本都是自己独立排查解决的,这对于自己独立解决问题的能力也是一个极大地提高和锻炼,总之这次实验我获益匪浅。 第三次实验是用VHDL语言设计组合逻辑电路和时序逻辑电路,由于Quartus11软件在之前已经使用过,所以本实验的主要任务就是编写与实验要求相对应的VHDL程序。 总体来说此次实验比较顺利,基本没有遇到什么问题,但有几点需要特别注意。首先是要区分实体名称和结构体名,这一点是程序编写的关键。其次在时序逻辑电路的设计实验中时钟的设置很关键,设置不当的话仿真波形可能不正确。 通过本次实验我初步学会用VHDL语言编写一些简单的程序,同时也进一步熟悉了Quartus11软件的使用。 实验八彩灯控制电路设计与实现 一、实验目的 1、进一步了解时序电路设计方法

数字系统课程设计-交通灯-实验报告

交通灯控制电路 摘要 在一个交通繁忙的十字路口,没有交通灯来控制来往车辆和行人的通行,假设也没有交警,那会发生什么事情呢?后果是难以想象的,可能会陷入一片混乱,甚至瘫痪。当然我们每个人都不希望这样。我们作为社会的一员,每人都有责任为它的更加先进和快捷做出力所能及的事情。我设计的这个交通控制系统可以通过交通灯控制东西方向车道和南北方向车道两条主次交叉道路上的车辆交替运行,用以减少交通事故的发生概率。并且经过这次实验使得我对电子技术课程内容的理解和掌握有了更深一层的认识,也学会使用半导体元件和集成电路,掌握电子电路的基本分析方法和设计方法,进一步提高分析解决实际问题的综合能力,也为将来的就业或继续深造做好准备。 一、任务 在城市道路上的交叉路口一般设置有交通灯,用于管理两条道路通行车辆。现有一条主干道和一条支干道的汇合点形成十字交叉口,为确保车辆安全、迅速的通行,在交叉路口的每条道上设置一组交通灯,交通灯由红、黄、绿3色组成。红灯亮表示此通道禁止车辆通过路口;黄灯亮表示此通道未过停车线的车辆禁止通行,已过停车线的车辆继续通行;绿灯亮表示该通道车辆可以通行。要求设计一交通灯控制电路以控制十字路口两组交通灯的状态转换,指挥车辆安全通行。指挥车辆安全通行。 设计要求 1、基本要求 (1)设计一个十字路口交通灯控制电路,要求主干道与支干道交替通行。 主干道通行时,主干道绿灯亮,支干道红灯亮,时间为60秒。支干道 通行时,支干道绿灯亮,主干道红灯亮,时间为30秒。 (2)每次绿灯变红灯时,要求黄灯先亮5秒钟。此时另一路口红灯也不变。 (3)黄灯亮时,要求黄灯闪烁,频率为1Hz。 2、发挥部分 要求在绿灯亮(通行时间内)和红灯亮(禁止通行时间内)均有倒计时显示。 二、设计方案选取与论证 1、所选方案的理由:本设计的交通灯控制电路是综合运用了74LS192芯片、7474芯片和NE555芯片等的集成电路。根据任务要求,用单片机或分立组件来实现是比较容易的,但是由于要求不能使用单片机设计,因此使用数字电路课程里学过的知识,运用它们来设计分析电路。即使用分立组件来实现。 2、方案的可行性、优缺点

2018秋数字电路与逻辑设计实验课程要求及题目

2018~2019学年第一学期 《数字电路与逻辑设计实验(下)》课程要求 一、课程安排及要求: 本学期数字实验教学内容为综合课题设计,教学方式采用开放式实验教学模式,第7周和第10周实验按班上课,第8周和第9周实验室全开放,学生根据开放实验安排自行选择实验时间和地点,要求每人至少参加2次课内开放实验。 课程具体安排如下: 二、成绩评定 数字综合实验成绩由三部分组成: ●平时成绩:占总成绩的20% ●验收答辩:占总成绩的50% ●报告成绩:占总成绩的30% 实验报告评分标准如下(按百分制批改,占总成绩的30%):

三、实验题目 题目1 抽油烟机控制器的设计与实现 利用CPLD器件和实验开发板,设计并实现一个抽油烟机控制器。 基本要求: 1、抽油烟机的基本功能只有两个:排油烟和照明,两个功能相互独立互不影响。 2、用8×8双色点阵模拟显示烟机排油烟风扇的转动,风扇转动方式为如图1所示的四 个点阵显示状态,四个显示状态按顺序循环显示。风扇转动速度根据排油烟量的大小分为4档,其中小档的四个显示状态之间的切换时间为2秒,中档为1秒,大排档为0.5秒,空档为静止不动(即停止排油烟),通过按动按键BTN7来实现排油烟量档位的切换,系统上电时排油烟量档位为空档,此后每按下按键BTN7一次,排油烟量档位切换一次,切换的顺序为:空档→大档→中档→小档→空档,依次循环。 双色点阵模拟排油烟风扇转动示意图 3、设置按键BTN0为立即关闭按键,在任何状态下,只要按下BTN0,排油烟风扇就 立即停止工作进入空档状态。 4、设置按键BTN3为延时关闭按键,在大中小三档排油烟状态的任何一个档位下,只 要按下BTN3,排油烟风扇将在延时6秒后停止工作进入空档状态。延时期间用数码管DISP3进行倒计时显示,倒计时结束后,排油烟风扇状态保持静止不动。在延时状态下,禁用排油烟量档位切换键BTN7。 5、设置按键BTN6为照明开关键,用发光二极管LD6模拟照明灯,系统上电时照明灯 LD6处于关闭状态,按动BTN6来切换LD6的点亮和关闭。 6、系统工作稳定。 提高要求: 1、给油烟机加上音效,分档模拟排油烟风扇的噪音。 2、自拟其他功能。

基于数字电路的交通灯控制系统毕业设计.

目录 1引言 (1) 2设计目的和要求 (2) 3设计方案的比较和选定 (3) 4系统方框图 (4) 5 电路工作原理 (5) 6 电路设计 (6) 6.1 555定时器构成的秒脉冲信号发生器 (6) 6.1.1 555定时器的引脚 (6) 6.1.2 555定时器工作原理 (7) 6.1.3 秒脉冲信号发生器 (8) 6.2 定时器电路 (8) 6.2.1 定时器的作用 (8) 6.2.2 定时器的构成 (9) 6.3 控制器电路 (11) 6.4 译码器 (12) 7系统总电路图 (15) 8 总结 (16) 参考文献 (17) 致谢 (18) 附录 (20)

摘要 随着社会不断的发展,人民的生活水平不断的提高,汽车已经成为人们生活必不可少的一部分,伴随而来的是日趋复杂的交通问题。日趋复杂的交通指挥系统仅靠人力来完成是远远不够的,这就需要设计一个简单有效的交通灯控制系统来完成这些复杂的工作,从而使城市交通系统有序,安全地运行。交通灯控制系统的出现是社会发展下的必然产物,在城市道路系统中占有举足轻重的地位,它直接影响到城市的通车质量。所以,一个简单有效的交通灯控制系统对一个城市的发展具有巨大的意义。本电路也正是基于前人设计的基础上进行改进,全部由数字电路组成,比以前的方案更为精确。 关键词:汽车;交通问题;交通灯控制系统;发展;数电

Abstract With the development of the society, people's living standard continues to improve, the automobile has been an integral part of people's life, together with the increasingly complex traffic problem. It is far from enough to manage the increasingly complex command system just depend on human, it requires a simple but effective traffic lights command system to complete these complex work, which makes the urban transport system work in order and safety. So, a simple but effective traffic lights command system means a lot to the growth of a city . Therefore, many designer have worked out a lot of programs, most are traffic lights. This circuit develop on the predecessors’programs, all made up of digital circuit, which is more accurate than forever. Key words: automobile; traffic problem; traffic lights command system; develop; digital circuit

数字电路基础 交通灯课程设计报告

西安邮电学院 数字电路课程设计报告书 ——交通灯控制器 学院名称:自动化学院 学生姓名:卢小琦(12) 专业名称:自动化 班级:0801 实习时间:2010年6月21日—2010年7月2日

一、设计题目:交通灯控制器 交通灯控制器是可以自动控制交通灯,并以倒计时的方式显示出时间,方便行人和车辆在通行时有条不紊的通行,达到交通井然有序,出行人员安全快捷的到达目的地的效果。本次实验的就是想通过这样的一个实例,来结合数字电路课程的学习共同实现这样的一个应用工具,达到理论和实践相结合的目的。 二、设计要求: 设计一个十字路口控制交通秩序的交通灯,满足以下条件: 显示顺序为其中一组方向是绿、黄、红;另一方向是红、绿、黄。 设置一组数码管以倒计时的方式显示语序通行或禁止通行时间,其中支通道绿灯的时间是20s,另一个方向上主通道的绿灯亮的时间是30s,黄灯亮的时间都是5s. 选做:当任何一个方向出现特殊情况,按下手动开关,其中一个方向通行,倒计时停止,当特殊情况结束后,按下自动控制开关恢复正常状态。 三、设计思路概述: 1.任务分析: 交通灯控制器主要实现两部分功能: ①东西、南北双向通路的红、绿、黄灯控制; ②东西向主通路的倒计时显示。 另外,在此设计中还实现了紧急情况下的强制中断功能。 2.实现方案:

实现这个交通灯控制器可以采用PLD 、FPGA 、单片机等可编程器件或基本数字逻辑器件实现。在这次实验中采用74系列数字集成芯片和555时基芯片实现相应功能,在本报告的最后附有用Atmel 公司89C52实现同样功能的电路设计图及C51程序。 3.具体功能分析: 此电路为十字路口交通灯控制电路,要求东西向和南北向不能同时出现绿(黄)灯,发生“撞车”现象。即当某一方向为绿灯或黄灯时,另一方向必为红灯。东西向主通路有倒计时显示。 设计时序如下: 东西向 南北向 4.电路框图设计: 说明:脉冲输出部分为555时基芯片构成的多次谐波振荡器,由其产生周期为1s 的时钟脉冲信号。计时控制部分主要由两片74LS161(十六进制同步加法计数器)、74LS74(边沿D 触发器)及逻辑门电路构成。产生30s 、20s 和5s 的倒计时信号。彩灯控制部分将计时控制部分输出的信号通过逻辑门电路及74LS139(双2—4线译码器)产生控制信号,控制彩灯按照响应时序显示,并将74LS139的输出

最新数字电路与逻辑设计试卷(有答案)

数字电路与逻辑设计(A 卷) 班级 学号 姓名 成绩 一.单项选择题(每题1分,共10分) 1.表示任意两位无符号十进制数需要( )二进制数。 A .6 B .7 C .8 D .9 2.余3码10001000对应的2421码为( )。 A .01010101 B.10000101 C.10111011 D.11101011 3.补码1.1000的真值是( )。 A . +1.0111 B. -1.0111 C. -0.1001 D. -0. 1000 4.标准或-与式是由( )构成的逻辑表达式。 A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 5.根据反演规则,()()E DE C C A F ++?+=的反函数为( )。 A. E )]E D (C C [A F ?++= B. E )E D (C C A F ?++= C. E )E D C C A (F ?++= D. E )(D A F ?++=E C C 6.下列四种类型的逻辑门中,可以用( )实现三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 7. 将D 触发器改造成T 触发器,图1所示电路中的虚线框内应是( )。 图1 A. 或非门 B. 与非门 C. 异或门 D. 同或门 8.实现两个四位二进制数相乘的组合电路,应有( )个输出函数。 A . 8 B. 9 C. 10 D. 11 9.要使JK 触发器在时钟作用下的次态与现态相反,JK 端取值应为( )。 A .JK=00 B. JK=01 C. JK=10 D. JK=11 10.设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要( )个异或门。 A .2 B. 3 C. 4 D. 5 二.判断题(判断各题正误,正确的在括号内记“∨”,错误的在括号内记“×”, 并在划线处改正。每题2分,共10分) 1.原码和补码均可实现将减法运算转化为加法运算。 ( )

根据数字电子技术的交通灯设计

目录 1 选题背景 (2) 1.1 指导思想 (2) 1.2 方案论证 (2) 1.3 基本设计任务 (3) 1.4 发挥设计任务 (4) 1.5电路特点 (4) 2电路设计 (4) 2.2 工作原理 (4) 3 各部分电路的仿真 (8) 4电路设计实物的焊接与调试 (9) 4.1调试时使用的仪器与仪表 (9) 4.2电路板上实物的焊接与调试 (9) 4.3 电路调试的过程。 (9) 5 小结 (9) 6 设计体会及改进意见 (10) 6.1 体会 (10) 6.2 本方案特点及存在问题 (10) 6.3改进意见 (10) 参考文献 (11) 附录Ⅰ 附录Ⅱ

正文 1 选题背景 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。交通信号灯常用与交叉路口,用来控制车的流量,提高交叉口车辆的通行能力,减少交通事故。有了交通灯人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化、科学化、简便化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 1.1指导思想 系统由秒脉冲信号发生器、定时器、控制器、译码显示器、信号灯显示器五大部分组成。其中秒脉冲信号发生器用于给各个组成部分提供脉冲信号,通过定时器向控制器发出三种定时信号,使相应的发光二极管发光。译码显示器在控制器的控制下,改变交通灯信号,分别产生三种倒计时时间显示,控制器根据定时器的信号,进行状态间的转换,使显示器的显示发生相应转变。 1.2 方案论证 在本次任务中我设计了两个方案,方案框图分别为下图1—1和1—2 图1—1 设计方案一原理框图

相关文档
最新文档