数字日历电路的设计

合集下载

具有温度指示的数字万年历设计方案

具有温度指示的数字万年历设计方案

具有温度指示的数字万年历设计方案1 绪论随着电子技术的迅速发展,特别是随大规模集成电路出现,给人类生活带来了根本性的改变。

由其是单片机技术的应用产品已经走进了千家万户。

其中电子万年历就是一个典型的例子。

而且在万年历的基础上还可以扩展其它的实用功能,比如温度计。

万年历是采用数字电路实现对.时,分,秒.数字显示的计时装置,广泛用于个人家庭,车站,码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表,钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。

诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,但是所有这些,都是以钟表数字化为基础的。

因此,研究万年历及扩大其应用,有着非常现实的意义。

市场上有许多电子钟的专用芯片如:LM8363 、LM8365 等,但它们功能单一,电路连接复杂,不便于调试制作。

但是考虑到用单片机配合时钟芯片,可制成功能任意的电子钟,而且可以做到硬件简单、成本低廉。

所以本系统采用了以广泛使用的单片机AT89S52 技术为核心,配合时钟芯片DS1302 。

软硬件结合,使硬件部分大为简化,提高了系统稳定性,并采用LCD 显示电路、键盘电路,使人机交互简便易行,此外结合音乐闹铃电路、看门狗和供电电路。

本方案设计出的数字钟可以显示时间、设置闹铃功能之外。

本文首先描述系统硬件工作原理,并附以系统结构框图加以说明,着重介绍了本系统所应用的各硬件接口技术和各个接口模块的功能及工作过程, 其次,详细阐述了程序的各个模块和实现过程。

本设计以数字集成电路技术为基础,单片机技术为核心。

本文编写的主导思想是软硬件相结合,以硬件为基础,来进行各功能模块的编写。

本设计中我重点研究实现了单片机+ 时钟芯片这种模式的万年历,从原理上对单片机和时钟芯片有了深一步的认识,这些基本功能完成后,在软件基础上实现时间显示。

6、用DS1302与LCD1602可调数字万年历实验设计报告

6、用DS1302与LCD1602可调数字万年历实验设计报告

利用时钟芯片DS1302实现万年历系别电子通信工程系组别第十组专业名称电子信息工程指导教师组内成员2013年8月19日用DS1302与LCD1602设计的可调式电子日历时钟一、总体设计1.1、设计目的为巩固所学的单片机知识,把所学理论运用到实践中,用LCD1602与DS1302 设计可调式电子日历时钟。

1.2、设计要求(1)显示:年、月、日、时、分、秒和星期;(2)设置年、月、日、时、分、秒和星期的初始状态;(3)能够用4个按键调整日历时钟的年、月、日、时、分、秒和星期;完成可调式电子日历时钟的硬件和软件的设计,包括单片机的相关内容;日历时钟模块的设计,液晶显示模块的设计,按键模块的设计。

控制程序的编写等。

备注:本程序另外添加了每到上午8:10和下午2:10的闹钟提醒功能。

1.3、系统基本方案选择和论证1.3.1、单片机芯片的选择方案方案一:采用89C51芯片作为硬件核心,采用Flash ROM,内部具有4KB ROM 存储空间,能于3V的超低压工作,而且与MCS-51系列单片机完全兼容。

方案二:采用STC12C5A60S2系列单片机是宏晶科技生产的单时钟/机器周期(1T)的单片机,是高速/低功耗/超强抗干扰的新一代8051单片机,指令代码完全兼容传统8051,但速度快8-12倍。

内部集成MAX810专用复位电路,2路PWM,8路高速10位A/D转换(250K/S),针对电机控制,强干扰场合。

但造价较高。

1.3.2 、显示模块选择方案和论证:方案一:采用点阵式数码管显示,点阵式数码管是由八行八列的发光二极管组成,对于显示文字比较适合,如采用显示数字显得太浪费,且价格也相对较高。

所以不用此种作为显示。

方案二:采用LED数码管动态扫描,虽然LED数码管价格适中,但要显示多个数字所需要的个数偏多,功耗较大,显示出来的只是拼音,而不是汉字。

所以也不用此种作为显示。

方案三:采用LCD液晶显示屏,液晶显示屏的显示功能强大,可显示大量字符,且视觉效果较好,外形美观。

《EDA技术及应用》数字日历电路的设计

《EDA技术及应用》数字日历电路的设计

《EDA技术及应用》数字日历电路的设计1 系统设计1.1 设计要求1.1.1 设计任务设计并制作一台数字日历。

1.1.2 性能指标要求①用EDA实训仪的I/O设备和PLD芯片实现数字日历的设计。

②数字日历能够显示年、月、日、时、分和秒。

③用EDA实训仪上的8只八段数码管分三屏分别显示年、月、日和时、分、秒,即在一定时间段内显示年(如002022),一段时间段内月、日(如000101),然后在另一时间段内显示时、分、秒(如120000),两个时间段能自动倒换。

④数字日历具有复位和校准年、月、日、时、分、秒的按钮,但校年和校时同用一个按钮,即在显示年、月、日时用此按钮校年,在显示时、分、秒时则用此按钮校时,依此类推。

1.2 设计思路及设计框图1.2.1设计思路通过EDA课程的学习,总体设计中包括显示部分、计时部分、控制部分、校时部分、年月日部分以及分频功能。

之后运用Verilog HDL语言编写60进制、24进制、年月日模块(nyr2022.)、控制模块(contr)、校时选择模块(mux_4)、显示选择模块(mux_16)和分频器的源程序,分别生成元件符号,然后将各个模块连接起来画成原理图再下载到实训箱上,调节开关及按钮来实现数字日历的功能。

1.2.2总体设计框图2 各个模块程序的设计1.1 年月日模块clrn是异步清除输入端,低电平有效;clk是时钟输入端,上升沿有效;jn、jy和jr分别是校年、校月、校日输入端;qn[15..0]、qy[7..0]、qr[7..0]分别是年、月、日的输出端。

1.2 控制模块控制模块上的clk给的是1s时钟脉冲,k是一个两位的控制输出端,所以k可以有4个值,它与显示模块共同控制年月日,时分秒,月日显示的切换。

1.3 校时选择模块①当k=0时,开关j1,j2,j3校秒时分然后将信号分别送到jsq模块的jm(校秒)、jf(校分)、js(校时)。

②当k=1时,开关j1,j2,j3校年月日然后将信号分别送到nyr模块的jr(校日)、jy(校月)、jn(校年)。

数电课程设计数字日历电路

数电课程设计数字日历电路

题目:数字日历电路班级:姓名:数字日历电路一、设计任务及要求:1、用5个数码管分别显示月、日、星期;2、月、日的计数器显示均从1开始,每月按30天算;3、对星期的计数显示从1到6再到日(日用8代替)。

二、方案设计与论证:日历是一种日常使用的出版物,用于记载日期等相关信息。

每页显示一日信息的叫日历,每页显示一个月信息的叫月历,每页显示全年信息的叫年历。

有多种形式,如挂历、座台历、年历卡等,如今又有电子日历。

逢年过节,往往会送亲友日历已显亲情友情可日历在现代社会中是很重要的。

而纸制日历对森林保护不利,因此设计电子日历意义重大。

在设计日历倒计时器时,采用了模块化的思想,将日历分为三个部分:日期、月份及年份,使得设计简单、易懂。

本设计能进行月、日、星期的的计数,在社会生活中具有实际的应用价值。

下面就是我们组设计电子日历的主要思路:本数字日历电路计数显示电路和控制电路组成,计数显示电路主要由同步十进制计数器74LS160构成日期、月份和星期计数器,然后通过译码器数码管显示出来控制调节电路则用了组合控制逻辑电路去控制日期计数器及月计数器的置数端和使能端,从而实现日期和月份的调节功能。

星期显示在脉冲作用下,从星期一到星期日循环计数,从而形成星期随着日期循环显示。

综上,该方案是具体可行的。

三、设计原理及框图:本数字日历电路主要由五个加计数器160、五个48译码器、显示器、控制开关构成。

它们的工作原理是:用两片十进制计数器74LS160同步预置数(高位置入0000,低位置入0001)构成日期计数器,使其每次从一开始计数,从日期计数器的输出三十这个信号使其同时给月计数器的CP端信号使其计数,最后给日计数器的低位以信号源使其计数,同时在脉冲的作用下,使星期循环计数,随着日期的变化而变化。

74LS48译码器将信号传给显示器显示数据。

四、各单元电路设计与分析:1、日期计数显示电路:日期计数器采用两片十进制计数器74LS160同步预置数构成,控制置数端使其每次从1开始计数(对应每月第一天)。

电路课程设计数字日历

电路课程设计数字日历

电路课程设计数字日历一、教学目标本章课程的设计目标是使学生掌握数字日历的电路设计与实现。

在知识目标上,期望学生能够理解数字电路的基本组成原理,掌握常用的逻辑门电路及其功能,了解时序逻辑电路的构成及工作原理。

技能目标方面,学生应能够运用基本的逻辑门电路设计简单的数字电路,并利用时序逻辑电路实现数字日历的功能。

情感态度价值观目标上,通过课程的学习,培养学生对电子技术的兴趣,提高学生解决实际问题的能力,并培养学生的创新思维和团队协作精神。

二、教学内容本章的教学内容主要包括数字电路的基本概念、逻辑门电路、时序逻辑电路以及数字日历的设计与实现。

具体到教材的章节,包括第3章的数字电路基础,第4章的逻辑门电路,第5章的时序逻辑电路,以及第6章的数字日历设计。

三、教学方法为了实现教学目标,将采用多种教学方法相结合的方式进行教学。

首先,通过讲授法向学生传授数字电路的基本知识和设计原理。

其次,利用讨论法引导学生深入理解逻辑门电路和时序逻辑电路的工作原理,并通过案例分析法分析数字日历的实际设计案例。

此外,还将运用实验法,让学生亲自动手设计并实现数字日历电路,从而加深对理论知识的理解和应用。

四、教学资源教学资源的选择和准备将围绕教学目标和内容进行。

教材方面,选用《数字电路与逻辑设计》作为主教材,辅助以《数字电路实验指导书》进行实践操作。

参考书目包括《数字电路与应用》和《数字电路设计原理》。

多媒体资料方面,准备相关的教学PPT和视频教程,以便学生课后自学。

实验设备方面,确保每个学生都能在实验室中使用数字电路实验板进行实践操作。

五、教学评估教学评估是检验教学效果的重要手段。

本课程的评估方式包括平时表现、作业、考试等多个方面。

平时表现主要评估学生的课堂参与度、提问回答、小组讨论等,旨在培养学生的主动思考和沟通能力。

作业方面,将布置与课程内容相关的设计项目和电路实验,要求学生在规定时间内完成,以此检验学生对知识的理解和应用能力。

数电课程设计 数字日历电路

数电课程设计 数字日历电路

数字电子技术课程设计报告题目:数字日历电路班级:姓名:数字日历电路一、设计任务及要求:1、用5个数码管分别显示月、日、星期;2、月、日的计数器显示均从1开始,每月按30天算;3、对星期的计数显示从1到6再到日(日用8代替)。

二、方案设计与论证:日历是一种日常使用的出版物,用于记载日期等相关信息。

每页显示一日信息的叫日历,每页显示一个月信息的叫月历,每页显示全年信息的叫年历。

有多种形式,如挂历、座台历、年历卡等,如今又有电子日历。

逢年过节,往往会送亲友日历已显亲情友情可日历在现代社会中是很重要的。

而纸制日历对森林保护不利,因此设计电子日历意义重大。

在设计日历倒计时器时,采用了模块化的思想,将日历分为三个部分:日期、月份及年份,使得设计简单、易懂。

本设计能进行月、日、星期的的计数,在社会生活中具有实际的应用价值。

下面就是我们组设计电子日历的主要思路:本数字日历电路计数显示电路和控制电路组成,计数显示电路主要由同步十进制计数器74LS160构成日期、月份和星期计数器,然后通过译码器数码管显示出来控制调节电路则用了组合控制逻辑电路去控制日期计数器及月计数器的置数端和使能端,从而实现日期和月份的调节功能。

星期显示在脉冲作用下,从星期一到星期日循环计数,从而形成星期随着日期循环显示。

综上,该方案是具体可行的。

三、设计原理及框图:本数字日历电路主要由五个加计数器160、五个48译码器、显示器、控制开关构成。

它们的工作原理是:用两片十进制计数器74LS160同步预置数(高位置入0000,低位置入0001)构成日期计数器,使其每次从一开始计数,从日期计数器的输出三十这个信号使其同时给月计数器的CP端信号使其计数,最后给日计数器的低位以信号源使其计数,同时在脉冲的作用下,使星期循环计数,随着日期的变化而变化。

74LS48译码器将信号传给显示器显示数据。

四、各单元电路设计与分析:1、日期计数显示电路:日期计数器采用两片十进制计数器74LS160同步预置数构成,控制置数端使其每次从1开始计数(对应每月第一天)。

数字日历电路课程设计

数字日历电路课程设计

课程设计报告课题:数字日历电路的设计班级电子0901 学号 ************学生姓名白冬专业电子信息工程系别信息工程系指导教师电子技术课程设计指导小组电子信息工程系2011年12月数字日历电路1 设计目的:(1)、培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程实际问题的能力。

(2)、学习较复杂的电子系统设计的一般方法,了解和掌握模拟、数字电路等知识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。

(3)、培养学生的创新能力。

2 技术指标与设计要求利用计数器,译码器,数码管等器件,(1)、技术指标(1)设计基准脉冲信号产生电路。

(2)能进行年月日的计时以及独立的时间显示电路。

(3)能进行星期的显示。

(4)快速校时。

(2)、设计要求(1)按照任务书要求,进行电路设计,给出原理框图;(2)根据原理框图,进行整体电路设计;(3)安装、设计电路,实现预期功能;(4)提交格式符合要求、内容完整的设计报告。

3 总体设计七段数码显译码单元进制计数秒脉冲图1:总体框图(1).设计构想利用555构成的多谐振荡电路,震荡周期约为1秒,当做秒脉冲;74LS90为进制加法器,用来计算时分秒;74LS48为译码器,其输入端与74LS90输出端相连,输出端与7段式数码管相连,计数器输出值经译码后,由数码管显示计数。

用74LS161做7进制加法器,做星期计算(2).设计所需主要器件:74LS90,74LS48,74LS161,74LS192,以及七段共阴极显示器(3).显示电路显示电路主要用的是74LS48和七段共阴极显示器,常见的七段数字显示器有半导体数码显示器(LED)和液晶显示器(LCD)等。

本实验用共阴极数码管,74LS48输出为高电平有效,和共阴极数码管搭配。

74LS48的逻辑符号和引脚排列图如图所示。

设计出来的显示电路如下图:(5)分秒计时电路计时电路分秒计时电路主要用到74LS90,用于构成60进制计数器。

《EDA技术与应用》实训报告

《EDA技术与应用》实训报告

桂林电子科技大学信息科技学院《EDA技术与应用》实训报告学号姓名指导教师:2012 年6 月20 日数字日历电路的设计1.系统设计1.1 设计要求①通过编程下载至实训箱实现万年历的设计制作;②数字日历能够显示年、月、日和时、分和秒;③用EDA实训仪上的8只八段数码管显示年、月、日和时、分、秒,每过8秒分别现实两个时间段能自动倒换;④数字日历具有复位和校准年、月、日、时、分、秒的按钮,有锁定时分秒的按键,同时加了一个现实闹钟的程序,即在设置的时间,LED显示一分钟,按键可以暂停。

1.1.1 设计任务设计并制作一台数字日历,添加闹钟功能。

1.1.2 技术要求基于Verilog HDL 编写电路设计2.总体思路根据学校EDA实验设备的输入/输出接口的容限,本设计采用8只七断数码管分时完成时,分,秒,年,月,日的显示。

设计电路的计时器模块用于完成一天中24小时计时;年月日模块接受24小时计时器模块送来的“天”脉冲进行计数,得到日,月,年的显示结果;控制模块产生控制信号K,控制数码显示器显示年月日,和时分秒的显示或自动切换显示。

校时选择模块在k信号的控制下,选择将j1,j2,j3这三个校时按钮产生的信号是送到计时器模块的校秒,校时,校分的输入端,还是送到年月诶模块的校天,校月,校年输入端;显示选择模块在k信号的控制下,选择是将24小时模块信号,还是将年月日模块信号送到数码显示器显示。

闹钟模块用于在设置时间用LED代替真正的闹钟,灯光一闪一闪表示闹钟响,加一按键,用于控制闹钟的灭。

24小时计数器电路图分频模块控制模块控制模块24小时计时模块 年月日模块闹钟模块校时模块显示模块译码器系统流程图整个系统电路里模块有主要有年月日模块,24小时计数器模块,闹钟模块,显示模块,信号k控制模块,校时选择模块,还原选择模块;而24小时计数器模块中又分有分频模块,六十进制计数器模块,二十四进制计数器模块。

2.1 设计方案2.1.1各个模块程序的设计1:分频器module gen (clk,cout);input clk;reg[24:0] q;output reg cout;always @(posedge clk) beginif(q==20000000-1) q=0; else q=q+1;if(q==20000000-1) cout=1; else cout=0;endendmodule module gen_mb(clk,cout); input clk;reg[24:0] q;output reg cout;always @(posedge clk) beginif(q==200000-1) q=0; else q=q+1;if(q==200000-1) cout=1; else cout=0;endendmodule2:六十进制计数器模块module cnt60(clk,clrn,j,q,cout);input clk,clrn,j;2千万分频20万分频output reg[7:0] q;output reg cout;always @(posedge clk^j or negedge clrn) beginif(~clrn) q=0;else beginif(q=='h59) q=0;else q=q+1;if(q[3:0]=='ha)beginq[3:0]=0; q[7:4]=q[7:4]+1;endif(q=='h59) cout=1;else cout=0;endendendmodule3:二十四进制计数器模块module cnt24(clk,clrn,j,q,cout);input clk,clrn,j;output reg[7:0] q;output reg cout;always @(posedge clk^j or negedge clrn) beginif(~clrn) q=0;else beginif(q=='h23) q=0;else q=q+1;if(q[3:0]=='ha)beginq[3:0]=0; q[7:4]=q[7:4]+1;endif(q=='h23) cout=1;else cout=0;endendendmodule4:100进制计数器模块module cnt100(clk,clrn,j,q,cout);input clk,clrn,j;output reg[7:0] q;output reg cout;reg[7:0] flay;always @(j)beginif(j) flay=0;else flay=1;endalways @(posedge clk or negedge clrn) beginif(~clrn) q=0;else if(flay==0) beginif(q==99) q=0;else q=q+1;if(q[3:0]=='hff)beginq[3:0]=0; q[7:4]=q[7:4]+1;endif(q==99) cout=1;else cout=0;endendendmodule5:年月日模块module nyr2009(clrn,clk,jn,jy,jr,qn,qy,qr); input clrn,clk,jn,jy,jr;output [15:0] qn;output [7:0] qy,qr;reg [15:0] qn;reg [7:0] qy,qr;reg clkn,clky;reg [7:0] date;reg clkn1,clkn2,clkn3;initial begin clkn1=1;clkn2=1;clkn3=1;endinitial begin qn='h2000;qy=1;qr=1;endalways @(posedge (clk^jr) or negedge clrn)beginif (~clrn) qr=1;else beginif (qr==date) qr=1;else qr=qr+1;if (qr[3:0]=='ha) beginqr[3:0]=0; qr[7:4]=qr[7:4]+1;endif (qr==date) clky = 1;else clky = 0;endendalways @(posedge clky^jy or negedge clrn)beginif (~clrn) qy=1;else beginif (qy=='h12) qy=1;else qy=qy+1;if (qy[3:0]=='ha) beginqy[3:0]=0;qy[7:4]=qy[7:4]+1;endif (qy=='h12) clkn = 1;else clkn = 0;endendalwaysbegincase (qy)'h01: date='h31;'h02: beginif ((qn%4==0)&(qn%100 != 0)|(qn%400==0)) date='h29;else date='h28; end'h03: date='h31;'h04: date='h30;'h05: date='h31;'h06: date='h30;'h07: date='h31;'h08: date='h31;'h09: date='h30;'h10: date='h31;'h11: date='h30;'h12: date='h31;default :date='h30;endcaseendalways @(posedge (clkn^jn) or negedge clrn ) beginif (~clrn) qn[3:0]=0;else begin if(qn[3:0]==9) qn[3:0]=0;else qn[3:0]=qn[3:0]+1;if (qn[3:0]==9) clkn1=0;else clkn1=1;endendalways @(posedge clkn1 or negedge clrn ) beginif (~clrn) qn[7:4]=0;else begin if(qn[7:4]==9) qn[7:4]=0;else qn[7:4]=qn[7:4]+1;if (qn[7:4]==9) clkn2=0;else clkn2=1;endendalways @(posedge clkn2 or negedge clrn ) beginif (~clrn) qn[11:8]=0;else begin if(qn[11:8]==9) qn[11:8]=0;else qn[11:8]=qn[11:8]+1;if (qn[11:8]==9) clkn3=0;else clkn3=1;endendalways @(posedge clkn3 or negedge clrn ) beginif (~clrn) qn[15:12]=2;else if(qn[15:12]==9) qn[15:12]=0;else qn[15:12]=qn[15:12]+1;endendmodule6:信号k控制模块module contr(clk,k1,k2,k);input clk,k1,k2;output reg k;reg [3:0] qc;reg rc;always @(posedge clk)begin qc=qc+1;if (qc<8) rc=0;else rc=1;case ({k1,k2})0:k=rc;1:k=0;2:k=1;3:k=rc;endcaseendendmodule7:校时选择模块module mux_4(k,jm,jf,js,jr,jy,jn,j1,j2,j3,); input j1,j2,j3;input k;output reg jm,jf,js,jr,jy,jn;alwaysbeginif(k==0) {jm,jf,js}={j1,j2,j3};else {jr,jy,jn}={j1,j2,j3};endendmodule8:24小时计数器module cnt24(clrn,clk,q,j,cont);input clrn,clk,j;output reg [7:0] q;output reg cont;always @(posedge clk^j or negedge clrn)beginif(~clrn) q=0;else beginif(q=='h23) q=0;else q=q+1;if(q[3:0]=='ha) begin q[3:0]=0;q[7:4]=q[7:4]+1;end if(q=='h23) cont=1;else cont=0;endendendmodule9:.显示器选择器module mux_16(k,qm,qf,qs,qr,qy,qn,q);input k;input[7:0] qm,qf,qs,qr,qy;input[15:0] qn;output reg [31:0] q;alwaysbeginif(k==0) beginq[31:24]=0;q[23:0]={qs,qf,qm};endelse q={qn,qy,qr};endEndmodule10:闹钟模块naozhong(qs,qf,led,zt);input zt;input[7:0] qs,qf;output led;reg led;alwaysbeginif (qs=='h06&&qf=='h01)led=1;elseled=0;if(zt==1) led=0;endendmodule3.制作与调试过程在实验中参考上课中学的万年历,在原有的基础上添加了一个闹钟功能,用LED代替实际的闹钟,在设置的时间内闪烁1分钟,又添加姨暂停按键,实现闹钟关闭功能,由于能力有限,没能加上其他功能的创新,是此次实训设计的缺憾,在调试过程中也比较顺利,在经过一段时间的调试后,基本就能实现功能,而主要时间用在了对原来的程序理解上。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

课程设计报告
课程名称:数字日历电路的设计
专业/班级:通信工程
姓名:王平
学号:0930********
指导教师:栾华东
目的与要求
(1)设计基准脉冲电路产生的信号;
(2)能进行年、月、日的计时以及独立的时间显示电路;
(3)能进行星期的显示;
(4)快速校时;
(5)充分结合和利用所学的内容来完成;
(6)选作内容
○1可以进行某年某月某日的备忘预设置,到达备忘日期后,该日期可以以4Hz的频率闪动;
○2可以进行某年某月某日的备忘预设置,到达备忘日期后,报警灯闪动。

原理及方案
(1)多谐振荡器电路:这里利用了555定时器和RC组成的多谐振荡器,产生1kHz的信号。

(2)分频器电路:利用三片74LS90集成芯片构成分频器将1kHz信号分频得到1Hz的秒脉冲信号,同时再利用一片74LS90芯片得到2Hz的校时信号。

(3)校时电路:利用一个开关和或门逻辑元器件组合而成。

可以分别对时间和年、月、日等进行校时。

(4)译码显示器:采用了共阴极的七段数字显示器,和译码器74LS48集成芯片组合成。

(5)时、分、秒计数电路:分别用两片74LS90集成芯片组成24进制、60进制、60进制作为时间的计数,均从0开始计数。

(6)星期计数电路:由74LS161构成的,从1开始计数到6,然后跳到8(星期日),完成一个星期的计数。

(7)年、月、日计数电路:年份的计数用4片74LS90构成104进制计数,月份由两片74LS90构成12进制并从1开始计数,而日也用74ls90构成经过数据选择器74LS151根据月份进行大月31进制、小月30进制、二月29进制的计数。

(8)备忘录预设置电路:由计数器、译码器和显示器构成可以对某日某月的设置。

(9)报警灯闪动系统:通过比较器74LS85对预设置和当前日期比较,相等时输出信号使灯闪动。

原理方框图如下:
设计过程
1.秒信号产生电路
本电路中的振荡器是由555定时器构成的多谐振荡器。

由于555内部的比较器灵敏度较高,而且采用差分电路形式,它的振荡频率受电源电压和温度变化的影响很小。

工作原理:时间标准信号的频率很高,要得到秒脉冲,需要分频电路。

振荡器产生的振荡频率为1000Hz,用3片74LS90进行分频后可得到1Hz的秒脉冲信号,再用一片74LS90构成五进制计数器将10Hz脉冲分频为0.5秒的脉冲信号,以备校时电路需要。

对于晶体振荡器可以产生稳定的频率,一般时钟都选用,但对集成电路CD4060没学习过就不考虑。

具体电路如下:
555振荡器与分频器的连接电路
由于滑阻只能以每5%的改变,所以频率还可调。

555振荡器电路的震荡周期可由下式估算:
T 1= (R 1+R 2)Cln2 T 2 =R 2Cln2
T=T 1+T 2=(R 1+2R 2)Cln2≈0.7(R 1+2R 2)C 若选定脉冲占空比q 为0.6,可得
q=
2
11t t t +=0.6
T 1=0.6⨯1ms=0.6ms T 2=T-T 1=1-0.6=0.4ms 取电容容C=0.1μF ,则
R 2=1
2
C 7.0t =5.714k Ω R 1=
1
1
C 7.0t - R2=8.571-5.714k Ω=2.857 k Ω 取R 2=5.1k Ω R 1= 2k Ω R P =5 k Ω
由此产生的1kHz 信号要调节R P , 经过分频电路产生1Hz 秒脉冲信号,同时分出2Hz 脉冲校时用。

分频电路如上图可见由74LS90构成计数进制进行分频。

2. 校时电路
在电路连接检测中为了能快速的见到效果或快速校时利用开关与一个或逻辑门7432N 相连,输出端接入计数器,输入端接进位信号和校时信号,通过按钮开关控制。

3. 显示译码器
显示译码器是一种将二进制代码表示的数字、文字、符号用人们习惯的形式直接表示出来的电路。

七段数字显示器,七段发光二极管组成的数字显示器。

有共阴极和共阳极之分,实验中采用输出为高电频有效,和共阴极数码管搭配的74LS48芯片。

试灯LT,动态灭零输入RBI均低电频有效。

4.时分秒计数电路
利用两片74LS90芯片级联,产生24进制和60进制的计数作为时分秒的计数器。

将74LS90内部二进制和五进制计数器级联可构成十进制计数器。

内部图如下
Q A Q B Q C Q D
CP A
CP B
由6片74LS90构成的时间计数译码显示器如下图
:
六十进制计数器如下图:
二十四进制计数器如下图:
5.星期计数译码显示器
利用74LS161的置一计数,可形成1到7的循环计数,同时利用译码器74LS48的试灯输入LT 低电频有效,在计数到7时试灯使其
灯全亮成为8,形成星期日。

如下图所示
6.年、月、日计数译码显示器
年份有4片74LS90芯片级联而成如图:
月份由两个74LS90芯片级联而成形成12进制,并使其从1开始计数,同理日根据月份的大小用两个74LS90芯片形成29、30、31进制计数
用逻辑门电路分开,然后利用数据选择器八选一74LS151芯片根据月份大小进行日计数的选择。

控制选择电路主要由8选1数据选择器74LS151和组合逻辑电路组成。

通过比较,每月可通过高位与低位最高位相与,低位次高位及低位最低位三组数据表示,即可用大月为001,011,100,小月为010,101,2月为000来表示,将其分别送入74LS151的输入端A、B、C。

如下图下图所示:
月份和某日的电路连接图
7.备忘录预设置
由74LS90构成的12进制和31进制,可以用来对月份和某日的设置,当到达该日期后,通过报警灯闪动系统来提示人。

备忘录数字设置图形如下
8.报警灯闪动电路
采用芯片74LS85比较器,通过当前的时间和所设定的备忘录时间比较来实现,当相等时输出信号脉冲使报警灯闪动,同时可通过开关将其关掉。

总电路图
为了加快显示用信号发生器暂时替代信号源
总结
通过本次的实习对数字电路等有了更清晰的理解,同时在数字日历的设计过程中,更进一步地熟悉了芯片的结构以及了解了各芯片的工作原理和其具体的使用方法,并学会使用。

经过在数电实验课上对一些芯片的实际操作和了解,同时参考课本数字电子技术上对一些芯片原理的解释和应用,勉强的设计出符合要求的原理图。

在初步的设计中,由于对Multisim仿真软件的不熟悉,犯了很多错误和走了很多弯路,同时对学好外语有了实质的感受特别是仿真出现错误的时候。

但通过在软件中的连线,对相应的芯片的引脚图和工作原理有了更好的理解和熟悉。

当然设计的电路不会是最简单,最经济,最实用的电路。

听说用单片机很简单,不过没有学习过,以后要努力学习同时扩大学习视野。

还发现自己对软件的使用不熟悉里面的很多元器件都不认识,以及一些其他功能的认识。

就像在设计仿真电路中1Hz 脉冲在函数传递以um时间的运行下,等到进位到1s时才有一个脉冲,越复杂运行时间越长,单独一个计数器和信号源却可以很好的工作。

但最后看到结果是这一切都是值得的。

同时这个设计不是所有条件都符合,找到一个最大限度满足各种条件的方案是这次设计的目标。

每次实习都是一次难得的锻炼机会,让我们能够充分利用所学过的理论知识和实际结合起来。

把自己的想象力,资料查找能力,以及自己对电路的处理、分析和设计能力的结合和锻炼。

我相信这次对我有一个很好的提高。

平时在学习理论知识的时候,应该更注重实践。

这次的课程设计让我懂得了它们在实际中的用途,更加深了理论知识的学习。

相关文档
最新文档