西北工业大学模电实验报告

西北工业大学模电实验报告
西北工业大学模电实验报告

西北工业大学

模拟电子技术仿真与实验报告册

目录

2.1晶体管共射极单管放大器 (3)

一、实验目的 (3)

二、实验原理 (3)

三、实验步骤 (5)

四、实验结果 (8)

2.5 多级负反馈放大器的研究 (10)

一、实验目的 (10)

二、实验原理及电路 (11)

三、实验内容 (13)

四、实验结果 (14)

2.7集成运算放大器的基本应用 (15)

一、实验目的 (15)

二、实验原理 (15)

三、实验内容 (17)

2.8 RC文氏电桥振荡器 (18)

一、实验目的 (18)

二、实验原理 (18)

三、实验内容 (19)

四、实验结果 (21)

fo理论值为1.591kHZ (23)

2.10 矩形波发生器 (24)

一、实验目的 (24)

二、实验原理及电路 (24)

三、实验内容 (27)

四、实验结果 (28)

4.3温度控制电路的设计 (29)

一、实验目的 (29)

二、设计指标与要求 (29)

三、实验原理 (29)

4.3 温度控制电路................................................................................... 错误!未定义书签。

一、实验目的 ........................................................................................ 错误!未定义书签。

二、实验原理 ........................................................................................ 错误!未定义书签。

三、实验内容 ........................................................................................ 错误!未定义书签。

四、实验结果 ........................................................................................ 错误!未定义书签。

四、实验思考与讨论 ............................................................................ 错误!未定义书签。

2.1晶体管共射极单管放大器

一、实验目的

1、掌握用multisim仿真软件分析单级放大器主要性能指标的方法。

2、掌握晶体管放大器静态工作点的调试和调整方法,观察静态工作点对放大器输出波形的影响。

3、测量放大器的放大倍数、输入电阻和输出电阻。

二、实验原理

实验电路如图2.1-1所示,采用基极固定分压式偏置电路。电路在接通直

流电源V

cc 而未加入信号(V

i

=0)时,三极管三个极电压和电流称为静态工作点,

V BQ =R

2

V

CC

/(R

2

+R

3

+R

7

) (2.1-1)

I CQ =I

EQ

=(V

BQ

-V

BEQ)

/R

4

(2.1-2)

I BQ =I

EQ

/β(2.1-3)

V CEQ =V

CC

-I

CQ

(R

5

+R

4

)(2.1-4)

1、放大器静态工作点的选择和测量

放大器的基本任务是不失真的放大小信号。为了获得最大不失真输出电压,静态工作点应选在输出特性曲线上交流负载线的中点。若工作点选的太高,则容易引起饱和失真;而选的太低,又易引起截止失真。

静态工作点的测量是指在接通电源电压后放大器输入端不加信号时,测量晶

体管的集电极电流I

CQ 和管压降V

CEQ

。其中V

CEQ

可直接用万用表直流电压档测C-E

极间的电压既得,而I

CQ

的测量则有直接法和间接法两种:

(1)直接法:将万用表电流档串入集电极电路直接测量。此法精度高,但要断开集电极回路,比较麻烦。

(2)间接法:用万用表直流电压档先测出R

5上的压降,然后根据已知R

5

算出

I

CQ

,此法简单,在实验中常用,但其测量精度差。为了减小测量误差,应选用内阻较高的电压表。

当按照上述要求搭好电路,在输入端引入正弦信号,用示波器观察输出。静态工作点具体的调节步骤如下:

现象出现截止失真出现饱和失真两种失真都出现无失真

动作减小R 增大R 减小输入信号加大输入信号

根据示波器上观察到的现象,做出不同的调整动作,反复进行。当加大输入信号,两种失真都出现,减小输入信号,两种失真同时消失,可以认为此时的静态工作点正好处于交流负载线的中点,就是最佳的静态工作点。去掉输入信号,测量此时的V

CQ

,就得到了静态工作点。

2、电压放大倍数的测量

电压放大倍数是指放大器的输入电压Ui输出电压Uo之比

A V =U

O

/U

i

(2.1-5)

用示波器分别测出U

O 和U

i

,便可按式(2.1-5)求得放大倍数,电压放大倍数与

负载R

6

有关。

3、输入电阻和输出电阻的测量

(1)输入电阻Ri用电流电压法测得,电路如图2.1-3所示。在输入回路中

串接电阻R=1kΩ,用示波器分别测出电阻两端电压V

i 和V

s

,则可求得输入电阻

R

i

R i =V

i

/R

i

=V

i

×R/(V

s

-V

i

)(2.1-6)

图2.1-3

电阻R不宜过大,否则引入干扰;也不宜过小,否则误差太大。通常取与Ri同一数量级。

(2)输出电阻R

o 可通过测量输出端开路时的输出电压V

o

’,带上负载R

6

的输出电压V

o

R o =(V

o

’/V

o

-1)×R

6

(2.1-7)

三、实验步骤

(一)计算机仿真部分

1、静态工作点的调整和测量

(1)如图,示波器A通道接放大器输入信号,B通道接放大器输出信号。

(2)在输入端加入1kHz,幅度为20mV(峰-峰值),频率为1kHz 的正弦波,幅度为10mV 。调节电位器,使示波器所显示的输出波形达到最大不失真。

(3)撤掉信号发生器,使输入信号电压i V =0,用万用表测量三极管三个极分别对地的电压E V ,B V ,

C

V ,

CEQ

V ,

EQ

I ,根据

E EQ

R V I =

EQ ,算出EQ CQ I I =。

将测量值记录于下表中,并与估算值进行比较。

2、电压放大倍数的测量

输入信号是1kHz ,幅度是20mVpp 正弦信号,利用实验原理中的公式A V =U O /U i

分别计算输出端开路和R 6=2k Ω时的电压放大倍数,并用示波器双踪观察V o 和V i 的相位关系。

3、输入电阻和输出电阻的测量

(1)用示波器分别测出电阻两端的V s 和V i ,用式(2.1-6)便可计算R i 的

理论估算值

实际测量值

B V

C

V

E V

CE

V

C

I

B V

C

V

E V

CE

V

C

I

大小。如图2.1-11所示。

图2.1-11

(2)根据测得的负载开路时的电压V o ’和接上2k Ω电阻时的输出电压V o ,用式(2.1-7)可算出输出电阻R o 。

将2,3的结果记录于下表

理论估算 实际测量 参数 V i V o A V R i R o V i V o A V R i R o 负载开路 RL=2k Ω

(二)实验室操作部分 1、静态工作点的调整和测量

(1)按照实验电路在面包板上连接好,布线要整齐、均匀,便于检查;镜检查无误接通12V 直流电源。

(2)在放大电路输入端加入1KHz 、幅度为20mV 的正弦信号,输出端接示波器,调节电位器,使示波器所显示的输出波形不失真,然后关掉信号发生器的电源,使输入电压V i =0,用万用表测量三极管三个极分别对地电压,V E ,V B ,V C ,V CEQ ,I CQ ,根据I=V/R 算出I=I 。记录测量值,并与估算值进行比较。

理论估算值 实际测量值 V B V C V E V CE I C

V B V C V E V CE I C

2、电压放大倍数的测量

(1)打开信号发生器的电源,输入信号频率为1KHz 、幅度为20mV 的正弦信号,输出端开路时,用示波器分别测出V i ,V o ’的大小,然后根据式(2.1-5)算出电压放大倍数。

(2)放大器输入端接入2k Ω的负载电阻R 6,保持输入电压V i 不变,测出此时的输出电压V o ,并算出此时的电压放大倍数,分析负载对放大电路电压放大倍数的影响。

(3)用示波器双踪观察V o 和V i 的波形,比较它们之间的相位关系。 3、输入电阻和输出电阻的测量

(1)用示波器分别测出电阻两端的电压V 和V ,利用式(2.1-6)便可算出放大电路的输入电阻R i 的大小。

(2)根据测得的负载开路时输出电压V o ’和接上负载时的输出电压V o ,利用式(2.1-7)便可算出放大电路的输出电阻R o 。记录实验数据。

理论估算 实际测量 参数 V i V o A V R i R o V i V o A V R i R o 负载开路 RL=2k Ω

四、实验结果

静态工作点

放大电路动态指标测试、计算结果(仿真)

实际测量值

B V

C

V

E V

CE

V

C

I

2.75V 7.811V 2.104V 5.707V 2.09mA

实际测量值

参数V i V0A V V i R i 负载开路14.14mV 1840mV 130 7.754mV 1217ΩR L=2kΩ14.14mV 932.1mV 66 7.794mV 1180Ω

电压放大倍数测量(R L=∞)

2.5 多级负反馈放大器的研究

一、实验目的

(1)掌握用仿真软件研究多级负反馈放大电路。

(2)学习集成运算放大器的应用,掌握多级集成运放电路的工作特点。

(3)研究负反馈对放大器性能的影响,掌握负反馈放大器性能指标的测试方法。

测试开环和闭环的电压放大倍数、输入电阻、反馈网络的电压反馈系数和通频带;

比较电压放大倍数、输入电阻、输出电阻和通频带在开环和闭环时的差别;

观察负反馈对非线性失真的改善。

二、实验原理及电路

(1)电路图:

(2)放大器的基本参数:

1)开环参数:

将反馈之路的A点与P点断开、与B点相连,便可得到开环时的放大电路。由此可测出开环时的放大电路的电压放大倍数AV、输入电阻Ri、输出电阻Ro、反馈网路的电压反馈系数Fv和通频带BW,即:

1

'

1

i

i

o

v

i

i

N

o

o L

o

f

V

o

H L

V

A

V

V R

R

V V

V

R R

V

V

F

V

BW f f

=

?

=?

?

?

?

-?

?

???

=-?

?

???

?

?

=

?

?

=-?

??

式中:VN为N点对地的交流电压;Vo’为负载RL开路时的输出电压;Vf为B 点对地的交流电压;fH和fL分别为放大器的上、下限频率,其定义为放大器的放大倍数下降为中频放大倍数的12

()

(

)0.70720.7072V H

VI VI V

L VI VI A jf A A A jf A A ?=

=????=

=??

2)闭环参数:

通过开环时放大电路的电压放大倍数Av 、输入电阻Ri 、输出电阻Ro 、反馈网络的电压反馈系数Fv 和上、下限频率fH 、fL ,可以计算求得多级负反馈放大电路的闭环电压放大倍数AVf 、输入电阻Rif 、输出电阻Rof 和通频带BWf 的理论值,即

'

'

'

1(1)()1(1)()1V

Vf V V

if i V V o o of V v V i

Hf H V V f Hf Lf L Lf V V A A A F R R A F R V R A A F V f f A F BW f f f f A F

?=

?+?

=+?

??==?+?

?

=+???

=-??

=??+??其中:其中:

测量放大电路的闭环特性时,应将反馈电路的A 点与B 点断开、与P 点相连,以构成反馈网络。此时需要适当增大输入信号电压Vi ,使输出电压Vo (接入负载RL 时的测量值)达到开环时的测量值,然后分别测出Vi 、VN 、Vf 、BWf 和Vo ’(负载RL 开路时的测量值)的大小,并由此得到负反馈放大电路闭环特性的实际测量值为

1

'1i

i o

vf i if N

o of L o f

V o f Hf Lf V A V V R R V V V R R V V F V BW f f =?=

????

-??

???=-? ????

??=

??=-?

??

上述所得结果应与开环测试时所计算的理论值近似相等,否则应找出原因后重新

测量。

在进行上述测试时,应保证各点信号波形与输入信号为同频率且不失真的正弦波,否则应找出原因,排除故障后再进行测量。

三、实验内容

1.负反馈放大器开环和闭环参数的测试

1)开环基本参数测量

1.按电路图连线,检查无误后接通电源。

2.将A,P点断开,与B点相连,使放大器处于开环状态,将信号发生器输

出调为1kHZ,8mVPP正弦波,然后接入放大器输入端,用示波器观察输入和输出的波形。

3.接入负载Rl,用示波器测出Vi,Vn,Vf,Vo,记入表格中。

4.将负载Rl开路保持输出电压vi的大小不变,用示波器测出输出v’o记

入表格中。

5.保持输入信号幅度不变化,逐渐增加输入信号频率,直到输出波形减小

到原来的0.707倍,此时信号频率为上限频率fH,逐渐缩小输入信号频率,测得下线频率Fl。计入表格

6.由却测试结果,根据式(2.5.1)存储算出放大电路开环时的Av Ri Ro BW

和Fv的值,并由式(2.5-3)计算放大器闭环时的Avf,Rif,Rof和BW的的理论值,记入表中。

2)闭环基本参数的测量

1.将图

2.5.3中的A与B断开,与P点相连,使放大电路处于闭环状态

2.接入负载Rl,保持输入电压Vo达到开环时的测量值,用示波器分别测

出Vi Vn Vof的值,记入表中。

3.将负载Rl开路,保持输入电压Vi的大小不变,用示波器分别测出V’o

的值,记入表中。

4.闭环时放大器的频率测试同开环时的测试,即重复开环测试5步

5.由上述结果根据式(2.5-4)计算出闭环时的Avf Rif Rof和Fv的实际

值记入表中。

2.负反馈对线性失真的改善作用

(1)将图2.5.3的电路开环,逐步加大VI的幅度,使输出信号失真。记录失真波形。

(2)将电路闭环,观察输出情况。并适当增加Vi的幅度,使输出幅度接近开环时的失真波形的幅度。

四、实验结果

1、实验数据

表2.5—1 负反馈放大电路实验操作数据

V i/mV V N/mV V f/mV /V V0/V

开环测试 4 4.15 / 0.78 0.73 / /

闭环测试 4 4.87 8.12 0.768 0.728 / /

/Ω/Ω

开环测试267k 349.32 /

闭环测试45.98k 280.22 /

2.7集成运算放大器的基本应用

一、实验目的

(1)了解并掌握由运算放大器组成的比例、加法、减法和积分等基本运算电路的功能。

(2)掌握集成运算放大器的基本应用,为综合应用奠定基础。

二、实验原理

集成运放是一种具有高电压放大倍数的直接耦合器件。当外部接入有不同的线性或非线性元器件组成的输入负反馈电路时,可以灵活的实现各种函数关系,在线性应用方面,可组成加法、减法、比例。积分、微分、对数等模拟运算电路。在大多数情况下,将运放视为理想的,即在一般讨论中,以下三条基本结论是普遍使用的:

1)开环电压增益Av=∞

2)运放的两个输入端电压近似相等,即,称为“虚短”。

3)运放的同相和反相两个输入端的电流可视为零,即,称为“虚断”。

应用理想运放的三条基本原则,可简化运放电路计算,得出本次实验结论。

(1)反相加法电路。电路如下图所示,输出电压和输入电压的关系是

当时

(2)差动放大电路(减法器)。减法器实际上是反相加法器和同相加法器的组合。如图所示的电路中,有

当,时,有如下关系:

三、实验内容

1 反相比例运算电路

若V0=-10VI

则需RF=100K,R1=10K,R2=9K

2 差分放大电路

若VO=5(VI2-VI1)

则需RF=100K,R1=R2=20K,R3=100K

3 反相加法电路

若VO=-(10VI1+5VI2)

则需RF=100K,R1=10K,R2=20K,R3=5.8K

四、实验结果

RF R1 R2 R3 VI1 VI2 AU VO 反相100K 10K 5.8K / 500mV

1000HZ

/ V0=-10VI 5V

差动100K 20K 20K 100K 500mV

1000HZ 1000mV

1000HZ

VO=5(VI2-VI1) 7.5V

反相加法100K 10K 20K 5.8K 500mV

1000HZ

300mV

1000HZ

VO=-(10VI1+5VI2) -6.5V

2.8 RC文氏电桥振荡器

一、实验目的

(1)学习RC正弦波振荡器的组成及其振荡条件。

(2)学会测量、调试振荡器。

二、实验原理

文氏电桥振荡器是一种较好的正弦波产生电路,适用于产生频率小于1MHz,频率范围宽,波形较好的低频振荡信号。

因为没有输入信号,为了产生正弦波,必须在电路里加入正反馈。

下图是用运算放大器组成的电路,图中R3,R4构成负反馈支路,R1,R2,C1,C2的串并联选频网络构成正反馈支路并兼作选频网络,二极管构成稳幅电路。调节电位器R p可以改变负反馈的深度,以满足振荡的振幅条件和改善波形。二极管D1,D2要求温度稳定性好且特性匹配,这样才能保证输出波形正负半周对称,同时接入R4以消除二极管的非线性影响。

若R1=R2,C1=C2,则振荡频率为f0=1/2πRC,正反馈的电压与输出电压同相位,且正反馈系数为1/3。为满足电路的起振条件放大器的电压放大倍数

A V > 3,其中A V = 1+R5/ =R p+R4。由此可得出当R5 >2R3时,可满足电路的

自激振荡的振幅起振条件。在实际应用中R5应略大于R3,这样既可以满足起振条件,又不会因其过大而引起波形严重失真。

此外,为了输出单一的正弦波,还必须进行选频。由于振荡频率为f0=1/2πRC,故在电路中可变换电容来进行振荡频率的粗调,可用电位器代替R1,R2来进行频率的细调。

电路起振后,由于元件参数的不稳定性,如果电路增益增大,输出幅度将越来越大,最后由于二极管的非线性限幅,这必然产生非线性失真。反之,如果增益不足,则输出幅度减小,可能停振,为此振荡电路要有一个稳幅电路。

图中两个二极管主要是利用二极管的正向电阻随所加电压而改变的特性,来自动调节负反馈深度。

三、实验内容

(一)计算机仿真部分

(1)按实验电路图连接好仿真电路。

(2)启动仿真,用示波器观测有无正弦波输出。若无输出,可调节R p使V0为伍明显失真的正弦波,并观察V0的值是否稳定。

(3)保持其他参数不变,分别测量C1=C2=0.01μF V0和V f的有效值及频率,记录在表中。

(二)实验室操作部分

一,按图连接好电路,并仔细检查确保电路没有错误。

(1)按实验电路图连接好仿真电路。

(2)接通电源用示波器观测有没有正弦波输出。调节Rp,使输出波形从无到有直至不失真。记录临界起振,正弦波输出及出现失真情况下得Rp值。将结果记录至表中。

(3)调节电位器Rp,使输出波形幅度数值最大且不失真,分别测量出输出电压V0并将结果记录至表中。

(4)保持其他参数不变,分别测量C1=C2=0.01μF V0和V f的有效值及频率,记录在表中。

西工大模电实验报告(完全版)

晶体管单极放大器 一、实验目的 (1)掌握用Multisim11.0仿真软件分析单极放大器主要性能指标的办法。 (2)掌握晶体管放大器静态工作点的测试和调整方法,观察静态工作点对放大器输出波形的影响。 (3)测量放大器的放大倍数、输出电阻和输入电阻。 二、实验原理及电路 实验电路如下图所示,采用基极固定分压式偏置电路。电路在接通直流电源Vcc而未加入输入信号()时,三极管三个极电压和电流称为静态工作点,即 (1) (2) (3) (4)

1、静态工作点的选择和测量 放大器的基本任务是不失真地放大小信号。为此应设置合适的静态工作点。为了获得最大不失真的输出电压,静态工作点应选在输出 特性曲线上交流福在线的中点(Q点)。若工作点选得太高则易引起饱 和失真;而选的太低,又易引起截止失真。 静态工作点的测量是指在接通电源电压后放大器输入端不加信号时,测量晶体管集电极电流、管压降和。 静态工作点调整现象动作归纳 电压放大倍数是指放大器输出电压与输入电压之比 (5) 3、输入电阻和输出电阻的测量 (1)输入电阻。放大电路的输入电阻可用电流电压法测量求得。 在输入回路中串接一外接电阻R=1kΩ,用示波器分别测出电阻 两端的电压和,则可求得放大电路的输入电阻为 =(6) (2) 输出电阻。放大电路的输出电阻可通过测量放大电路输出端 开路时的输出电压,带上负载后的输出电压,经计算求 得。 =()×(7) 三、实验内容 (一)仿真部分 1、静态工作点的调整和测量 (1)按图连接电路

(2)输入端加1kHz、幅度为20mV(峰-峰值)的正弦波,调节电位器,使示波器显示的输出波形达到最大不失真。 (3)撤掉信号发生器,用万用表测量三极管三个极分别对地的电压,、、,计算和数据记录与表一。 2、电压放大倍数的测量 (1)输入信号为1kHz、幅度为20mV(峰-峰值)的正弦信号,输出端开 路时(RL=∞),用示波器分别测出,的大小,由式(5)算出 电压放大倍数。记录于表二。 (2)放大电路输出端接入2kΩ的负载电阻,保持输入电压不变,测出此时的输出电压,并计算此时的电压放大倍数,分析负载 对放大电路电压放大倍数的影响。记录于表二。

西工大2016数电实验报告1

实验1 TTL集成门电路逻辑变换 一、实验目的 (1)掌握各种TTL门电路的逻辑功能。 (2)掌握验证逻辑门电路功能的方法。 (3)掌握空闲输入端的处理方法。 二、实验设备 (1)数字电路实验箱 (2) 74LS00集成门电路 三、实验原理 门电路是数字逻辑电路的基本组成单元,门电路按逻辑功能可分为与门、或门、非门及与非门、或非门、异或门等。按电路结构组成的不同,可分为分立元件门电路、CMOS集成门电路、TTL集成门电路等。集成门电路通常封装在集成芯片内,一般有双列直插和表面贴装两种封装形式。实验中常用的封装形式为双列直插式。每个集成电路都有自己的代号,与代号对应的名称形象地说明了集成电路的用途。如74LS00是二输入端四与非门,它说明了这个集成电路中包含了四个二输入端的与非门。 四、实验内容 (1)测试74LS00四个与非门逻辑功能是否正常。用MULTISIM软件仿真之后,搭接实际电路图测试。 (2)用与非门实现“与”逻辑,用MULTISIM软件仿真之后,搭接实际电路图测试。

(3)用与非门实现“或”逻辑,用MULTISIM软件仿真之后,搭接实际电路图测试。 (4)用与非门实现“异或”逻辑,用MULTISIM软件仿真之后,搭接实际电路图测试。

五、实验结果 通过计算机仿真和搭建实际的电路图可得如下的真值表。 (1)测试74LS00四个与非门逻辑功能

(2)用与非门实现“与”逻辑 (3)用与非门实现“或”逻辑

(4)用与非门实现“异或”逻辑 思考题:用与非门实现 Y=AB+AC+BC,创建逻辑测试电路,记录测试真值表. (做了的同学请将电路图和真值表记在实验报告中.) (1)电路图如下:

模电实验报告一_西工大

模 拟 电 路 设 计 实 验 报 告 西北工业大学 赵致远2014302170 裘天成2014302171 2016年1月1日 实验一:电源 1.实验目的: ●学习开关型和线性型直流稳压电源原理。 ●认识电解电容与陶瓷电容的区别。 ●认识电感的作用。 ●学会通过芯片datasheet(数据表)了解其工作特性及参数指标 ●掌握直流稳压电源主要指标的意义与其测试方法。

熟悉开关型与线性型直流稳压电源的优缺点与其区别。 2.实验原理: a.线性稳压原理: 特点: 1.输出电压绝对值必须比输入电压绝对值低 2.输出三极管或者MOS管工作在放大状态,导通压降大,输入输 出电压压差大时效率较低。 3.输出电流能力较小 4.输出电压纹波小 5.无开关动作和EMI b.开关稳压原理: 降压 负压 升压

V SW I L V OUT ΔI L ΔV OUT T ON T 特点: 1.能够实现升压,降压,负压转换 2.采用开关传输能量,效率高。 3.具有大电流输出能力 4.输出纹波较大 5.开关动作产生较大EMI和系统电源噪声 3.实验内容: a.实验1:MC34063开关稳压电路 降压输出5V 负压输出-5V

1. 计算参数。 方法:依据MC34063 数据手册(datasheet)中,降压(step-down)和负压(Voltage-Inverting)部分提供的公式计算。 计算开关频率f和导通时间T ON:首先,依据选定的电容C T的值及其公式计算出T ON大小,之后根据T ON/T OFF比值公式计算出T OFF大小。T ON与T OFF之和为开关周期。计算得出开关频率大小。 通过反馈电阻R1,计算反馈电阻R2值。 已知确定R1,通过datasheet中提供的公式计算设定V OUT所需的电阻R2值。 并且调整好可调电阻大小。 计算最大输出电流I OUT(max) 2. 搭建电路。 3. 测试参数 A: 输出电压V OUT 电压表直接测量输出端的电压,并记录。 B:输出纹波 输入电压V IN=25V,负载电阻100Ω时,通过示波器AC档测试V OUT波形,读取纹波大小。 C: 开关频率f和导通时间T ON 输入电压V IN=25V,负载电阻100Ω时,测量开关节点引脚2的波形频率。 高电平时间为导通时间T ON。 D: 负载调整率 输入电压V IN=25V,在输出负载上串联电流表,接入V OUT端,调节负载电阻100Ω和50Ω变化。记录两个负载下输出电压值,计算负载调整率。 E:线性调整率 输入电压V IN在15V到25V变化,负载电阻100Ω时,记录输出电压变化值,计算线性调整率。 F:效率 输入电压V IN=25V,负载电阻100Ω时效率。 G:短路电流 输出负载0.1ohm,串联电流表,接入V OUT端,记录此时的输出电流值。b.实验2:LM7805线性降压电路

西工大_数电实验_第四次实验_实验报告

数电实验4 一.实验目的 熟悉用仿真法研究数字电路实验的过程,实现一个彩灯控制电路。 熟练使用VHDL语言 二.实验设备 1.Quartus开发环境 2.ED0开发板 三.实验内容 1、彩灯控制电路要求控制4个彩灯; 2、两个控制信号: K1K0= 00 灯全灭 01 右移,循环显示 10 左移,循环显示 11 灯全亮 3.彩灯正常工作的同时,四个七段数码管循环显示第一个同学的学号后四位一秒,第二个同学的学号后四位一秒,全黑一秒。 四.实验原理 1.彩灯控制电路的程序如下: LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.std_logic_ARITH.ALL; USE IEEE.std_logic_UNSIGNED.ALL; ENTITY led IS port( clk:in std_logic; data_in:IN STD_LOGIC_VECTOR(1 DOWNTO 0); data_out:out std_logic_vector(3 downto 0); data_out1,data_out2,data_out3,data_out4:out std_logic_vector(6 downto 0)); END led; ARCHITECTURE control OF led IS CONSTANT m : INTEGER:= 25000000; BEGIN PROCESS(data_in,clk) V ARIABLE cout : INTEGER:=0; V ARIABLE i : INTEGER:=0; BEGIN IF clk'EVENT AND clk='1' THEN cout:=cout+1; --计数器+1 i:=i+1; --计数器+1

西工大高频第二次实验报告

实验二调幅接收系统实验 一、实验目的和内容: 图2为实验中的调幅接收系统结构图(虚框部分为实验重点,低噪放电路下次实验实现,本振信号由信号源产生。)。通过实验了解和掌握调幅接收系统,了解和掌握三极管混频器电路、中频放大/AGC电路、检波电路。 图2 调幅接收系统结构图 二、实验原理: 1、晶体管混频电路: 给出原理图,并分析其工作原理。 原理:混频电路将高频载波信号或已调波信号经过滤波、放大,将其频率变换为固定频率的信号且该高频滤波信号的频谱内部结构和调制类型保持不变,仅仅改变其频率。 2、中频放大/AGC和检波电路: 给出原理图,并分析其工作原理。 原理:中频输入信号通过中放电路放大中频信号,抑制干扰信号,连接AGC电路实现自动增益控制,接着连接二极管检波电路和低通滤波器,从中取出调制信号。 3、调幅接收系统: 给出系统框图,并简述其工作原理。 检波 低噪放混频 中放 /AGC 本振

工作原理:天线接收信号通过滤波器滤波然后低噪放放大幅度,晶体振荡器振荡出所需的本振信号,让本振信号和其进行混频然后滤波,AGC对其进行放大,输出稳定值,再进行滤波并解调检波,经过功率放大器输出。 三、实验步骤: 1、晶体管混频电路: 1)先调整静态工作点,测量2R4两端电压,调节2W1,使2R4两端电压为0; 2)在V2-5输入10.455MHz,250mV的本振信号,在V2-1输入10MHz、30mV的单载波信号,在V2-3处观测,调节2C3和2B1的大小,改变中频输出,当输出为455KHz的最大不失真稳定正弦波时,完成调试并记录此时的中频输出峰峰值。 3)改变基极偏置电阻2W1,使2R4端电压分别为0.5,1,1.5,2,2.5,3V,重复上述步骤2),记录下不同静态工作点下的中频输出的峰峰值,并计算混频增益,完成表2-1. 2、中频放大/AGC和检波电路: 1)调节直流静态工作点:闭合开关K3,电路仅接入12v直流电压,调节可调电阻3W1、3W2,为使静态电流不超过1mA,应使3R7,3R13两端电压为0.5V,0.033V。 2)调节交流工作:第一,调节函数发生器产生频率455KHZ的标准正弦信号,接入3K1。将示波器接于V3-2。 第二,调节可调电容3C4,使输出波形幅度最大不失真。 第三,将示波器加于V3-4,调节可调电容3C7,使输出波形最大不失真。 3)测试动态范围:开关3K2断开,开关3K3闭合。调节输入信号Vi幅值,使其分别为10,20…100,200mv…1V,示波器分别接到V3-2、V3-4、V3-5,,将分别测得的波形峰峰值记入表2-2,即分别为V01,V02,Vc,同时用示波器接V3-6处记录电压值(即AGC检波输出电压)。 4)检波失真观测:第一,输入信号455KHz、10mVpp,调制1KHz信号,调制度50%调幅信号,将示波器接到V3-6处即可观察到正常无失真的波形输出并记录;第二,增大直流负载电阻3W4,观察示波器直到观测到失真波形,即为对角线失真,记录波形;第三,再次调整3W4使波形正常不失真,减小交流电阻即闭合3K4,观察示波器输出波形产生负峰切割失真,记录波形。 3、调幅接收系统: 1、晶体管混频电路:1)2K1接入调制频率1KHz正弦波,载波频率10MHz,幅度为30mVp-p ,调制度50%的调幅波信号。 2)2K3接入本振信号10.455MHz,250mVp-p的正弦信号,将示波器接在V2-3处观察波形,记录参数、波形。 2、中频放大电路3K1打至中频输入端。 3K2、3K4断开,3K3闭合,,将示波器接到V3-6观察检波输出的波形,调节3W4,使其达到最大不失真波形,记录波形。 3、测试系统性能:1)灵敏度。不断减小输入调幅波信号的幅值,同时观察检波输出波形,使示波器波形出现明显失真的输入幅值为该系统的最小可接收幅值。 四、测试指标和测试波形: 3.1.晶体管混频电路:

数电实验报告:实验4-计数器及应用161

广东海洋大学学生实验报告书(学生用表) 实验名称 课程名称 课程号 学院(系) 专业 班级 学生姓名 学号 实验地点 实验日期 实验4 计数器及其应用 一、实验目的 1、熟悉中规模集成计数器的逻辑功能及使用方法 2、掌握用74LS161构成计数器的方法 3、熟悉中规模集成计数器应用 二、实验原理 计数器是典型的时序逻辑电路,它是用来累计和记忆输入脉冲的个数.计数是数字系统中很重要的基本操作,集成计数器是最广泛应用的逻辑部件之一。计数器种类较多,按构成计数器中的多触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器;根据计数制的不同,可分为二进制计数器、十进制计数器和任意进制计数器;根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等。本实验主要研究中规模十进制计数器74LS161的功能及应用。 1、中规模集成计数器 74LS161 是四位二进制可预置同步计数器,由于它采用4 个主从JK 触发器作为记忆单元,故又称为四位二进制同步计数器,其集成芯片管脚如图1所示: 管脚符号说明:电源正端Vcc ,接+5V ;异步置零(复位)端Rd ;时钟脉冲CP ;预置数控制端 A 、B 、C 、D ;数据输出端 QA 、QB 、QC 、QD ;进位输出端 RCO :使能端EP ,ET ;预置端 LD ; 图1 74LS161 管脚图 GDOU-B-11-112

该计数器由于内部采用了快速进位电路,所以具有较高的计数速度。各触发器翻转是靠时钟脉冲信号的正跳变上升沿来完成的。时钟脉冲每正跳变一次,计数器内各触发器就同时翻转一次,74LS161的功能表如表1所示: 表1 74LS161 逻辑功能表 2、实现任意进制计数器 由于74LS161的计数容量为16,即计16个脉冲,发生一次进位,所以可以用它构成16进制以内的各进制计数器,实现的方法有两种:置零法(复位法)和置数法(置位法)。 (1) 用复位法获得任意进制计数器假定已有N进制计数器,而需要得到一个M进制计数器时,只要M<N,用复位法使计数器计数到M时置“0”,即获得M进制计数器。 (2) 利用预置功能获M进制计数器置位法与置零法不同,它是通过给计数器重复置入某个数值的的跳越N-M个状态,从而获得M进制计数器的,如图所法。置数操作可以在电路的任何一个状态下进行。这种方法适用于有预置功能的计数器电路。图2是上述二种方法的原理示意图。 图2(a) 图2(b) 三、实验内容与步骤 1、测试74LS161的逻辑功能。 2、在熟悉74LS161逻辑功能的基础上,利用74LS161设计9进制计数器。 附图74ls00和74ls20

西工大高频第二次实验报告

实验二 调幅接收系统实验 一、实验目的与内容: 图2为实验中的调幅接收系统结构图(虚框部分为实验重点,低噪放电路下次实验实现,本振信号由信号源产生。)。通过实验了解与掌握调幅接收系统,了解与掌握三极管混频器电路、中频放大/AGC 电路、检波电路。 图2 调幅接收系统结构图 二、实验原理: 1、晶体管混频电路: 给出原理图,并分析其工作原理。 原理:混频电路将高频载波信号或已调波信号经过滤波、放大,将其频率变换为固定频率的信号且该高频滤波信号的频谱内部结构和调制类型保持不变,仅仅改变其频率。 2、中频放大/AGC 和检波电路: 给出原理图,并分析其工作原理。 检波 低噪放 混频 中放 /AGC 本振

原理:中频输入信号通过中放电路放大中频信号,抑制干扰信号,连接AGC电路实现自动增益控制,接着连接二极管检波电路和低通滤波器,从中取出调制信号。 3、调幅接收系统: 给出系统框图,并简述其工作原理。 工作原理:天线接收信号通过滤波器滤波然后低噪放放大幅度,晶体振荡器振荡出所需的本振信号,让本振信号与其进行混频然后滤波,AGC对其进行放大,输出稳定值,再进行滤波并解调检波,经过功率放大器输出。 三、实验步骤: 1、晶体管混频电路: 1)先调整静态工作点,测量2R4两端电压,调节2W1,使2R4两端电压为0; 2)在V2-5输入10.455MHz,250mV的本振信号,在V2-1输入10MHz、30mV的单载波信号,在V2-3处观测,调节2C3和2B1的大小,改变中频输出,当输出为455KHz的最大不失真稳定正弦波时,完成调试并记录此时的中频输出峰峰值。 3)改变基极偏置电阻2W1,使2R4端电压分别为0.5,1,1.5,2,2.5,3V,重复上述步骤2),记录下不同静态工作点下的中频输出的峰峰值,并计算混频增益,完成表2-1. 2、中频放大/AGC和检波电路: 1)调节直流静态工作点:闭合开关K3,电路仅接入12v直流电压,调节可调电阻3W1、3W2,为使静态电流不超过1mA,应使3R7,3R13两端电压为0.5V,0.033V。 2)调节交流工作:第一,调节函数发生器产生频率455KHZ的标准正弦信号,接入3K1。将示波器接于V3-2。 第二,调节可调电容3C4,使输出波形幅度最大不失真。 第三,将示波器加于V3-4,调节可调电容3C7,使输出波形最大不失真。 3)测试动态范围:开关3K2断开,开关3K3闭合。调节输入信号Vi幅值,使其分别为10,20…100,200mv…1V,示波器分别接到V3-2、V3-4、V3-5,,将分别测得的波形峰峰值记入表2-2,即分别为V01,V02,Vc,同时用示波器接V3-6处记录电压值(即AGC检波输出电压)。 4)检波失真观测:第一,输入信号455KHz、10mVpp,调制1KHz信号,调制度50%调幅信号,

重庆大学汇编实验报告3

《汇编语言程序设计》实验报告 年级、专业、班级姓名 实验题目实验3:汇编程序的循环结构的使用 实验时间2013年4月15 实验地点DS1421 实验成绩实验性质□验证性 设计性□综合性教师评价: □算法/实验过程正确;□源程序/实验内容提交□程序结构/实验步骤合理;□实验结果正确;□语法、语义正确;□报告规范; 其他: 评价教师签名: 一、实验目的 通过一个排序算法,来熟悉和掌握利用汇编语言实现循环处理能力的程序。 二、实验项目内容 1 编写一个整数数组内的元素排序的程序 2 需要排序数组大小为10个DW的整数 3 按照从低到高输出这10个数字 4 要求撰写必要程序模块设计图和主要的流程 三、实验过程或算法(源程序) assume cs:code,ds:data data segment dw 1234h,2a45h,345bh,45c7h,5678h,4321h,5432h,6543h,7654h,0d765h table db '0123456789abcde' data ends stack segment db 32 dup(0) stack ends code segment start: mov ax,data mov ds,ax

mov di,0 mov ax,stack mov ss,ax mov sp,32 mov cx,9 s0: mov ax,ds:[si] push cx s1: add si,2 cmp ax,ds:[si] jb change s2: loop s1 xchg ax,ds:[si] xchg ax,ds:[di] mov si,0 mov di,0 pop cx loop s0 mov ax,data mov ds,ax mov si,0 call show mov ax,4c00h int 21h change: mov ax,ds:[si] mov di,si jmp s2 show: push es push di push ax push bx push cx push dx mov ax,0b800h mov es,ax mov di,160*12+2*10 mov cx,10 show1: push cx

模电实验

模拟电子技术实验第十一次实验 波形发生电路 实验报告 2016.12.22 . .

. . 一、 实验目的 1、 学习用集成运放构成正弦波、方波和三角波。 2、 学会波形发生电路的调整和主要性能指标的测试方法。 二、 实验原理 由集成运放构成的正弦波、方波和三角波发生电路有多种形式,本实验采用 最常用且比较简单的几种电路来做分析。 1、 RC 桥式正弦波振荡电路 下图所示为RC 桥式正弦波振荡电路。其中RC 串并联电路构成正反馈支路, 同时起到选频网络的作用。R1、R2、Rw 及二极管等元件构成负反馈和稳幅环节。调节电位器Rw ,可以改变负反馈深度,以满足振荡的振幅条件和改善波形。利用两个反向并联二极管D1、D2正向电阻的非线性特性来实现稳幅。D1、D2采用硅管(温度稳定性好),且要求特性匹配,才能保持输出波形正、负半周对称。R3的接入是为了削弱二极管非线性的影响,以改善波形失真。 电路的振荡频率:12o f RC π= 起振的幅值条件:12f R R ≥ (具体推导见书第406页) 其中23(//)f w D R R R R r =++,D r 是二极管正向导通电阻 调整反馈电阻Rf (调Rw ),使电路起振,且波形失真最小。如不能起振,则

. . 说明负反馈太强,应当适当加大Rw ;如波形失真严重,则应当适当减小Rw 。 改变选频网络的参数C 或R ,即可调节振荡频率。一般采用改变电容C 作频率量程切换,而调节R 作量程的频率细调。 2、 方波发生电路 由集成运放构成的方波发生电路和三角波发生电路,一般均包括比较电路和 RC 积分电路两大部分。下图所示为由迟滞比较器及简单RC 积分电路组成的方波-三角波发生电路。它的特点是线路简单,但三角波的线性度较差。主要用于产生方波,或对三角波要求不高的场合。 电路振荡频率:211 22ln(1)o f f f R R C R =+ 式中11''w R R R =+,22'''w R R R =+ 方波输出幅值:om Z V V =± 三角波输出幅值:212 CM Z R V V R R =+ 调节电位器Rw (即改变R2/R1,),可以改变振荡频率,但三角波的幅值也随之变化。如要互不影响,则可以通过改变Rf 或Cf 来实现振荡频率的调节。 3、 三角波和方波发生电路 如把迟滞比较电路和积分电路首尾相接形成正反馈闭环系统,如下图所示, 则比较电路A1输出的方波经积分电路A2积分可以得到三角波,三角波又触发比较器自动翻转形成方波,这样既可构成三角波、方波发生电路。

西工大-数电实验-第二次实验-实验报告

数电实验2 一.实验目的 1.学习并掌握硬件描述语言(VHDL 或 Verilog HDL);熟悉门电路的逻辑功能,并用硬件描述语言实现门电路的设计。 2.熟悉中规模器件译码器的逻辑功能,用硬件描述语言实现其设计。 3.熟悉时序电路计数器的逻辑功能,用硬件描述语言实现其设计。 4.熟悉分频电路的逻辑功能,并用硬件描述语言实现其设计。 二.实验设备 1.Quartus开发环境 2.ED0开发板 三.实验内容 要求1:编写一个异或门逻辑电路,编译程序如下。 1)用 QuartusII 波形仿真验证; 2)下载到DE0 开发板验证。 要求2:编写一个将二进制码转换成 0-F 的七段码译码器。 1)用 QuartusII 波形仿真验证; 2)下载到 DE0 开发板,利用开发板上的数码管验证。 要求3:编写一个计数器。 1)用QuartusII 波形仿真验证; 2)下载到 DE0 开发板验证。 要求4:编写一个能实现占空比 50%的 5M 和50M 分频器即两个输出,输出信号频率分别为 10Hz 和 1Hz。 1)下载到 DE0 开发板验证。(提示:利用 DE0 板上已有的 50M 晶振作为输入信号,通过开发板上两个的 LED 灯观察输出信号)。 2)电路框图如下: 扩展内容:利用已经实现的 VHDL 模块文件,采用原理图方法,实现 0-F 计数自动循环显示,频率 10Hz。(提示:如何将 VHDL 模块文件在逻辑原理图中应用,参考参考内容 5) 四.实验原理 1.实验1实现异或门逻辑电路,VHDL源代码如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;

数电实验报告1-数电实验报告实验一

实验一门电路逻辑功能及测试 一、实验目得 1、熟悉门电路逻辑功能。 2、熟悉数字电路学习机及示波器使用方法。 二、实验仪器及材料 1、双踪示波器 2、器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86二输入端四异或门1片 74LS04 六反相器1片 三、预习要求 1、复习门电路工作原理相应逻辑表达示。 2、熟悉所有集成电路得引线位置及各引线用途。 3、了解双踪示波器使用方法。 四、实验内容 实验前按学习机使用说明先检查学习机就是否正常,然后选择实验用得集成电路,按自己设计得实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电。试验中改动接线须先断开电源,接好线后在通电实验。 1、测试门电路逻辑功能。 (1)选用双输入与非门74LS20一只,插入面包板,按图 连接电路,输入端接S1~S4(电平开关输入插口),输 出端接电平显示发光二极管(D1~D8任意一个)。 (2)将电平开关按表1、1置位,分别测出电压及逻辑状态。(表1、1)

2、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A﹑B﹑Y接电平显示发光二极管。 (2)将电平开关按表1、2置位,将结果填入表中。 表1、2 3、逻辑电路得逻辑关系

(1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。将输入输出逻辑关系分 别填入表1、3﹑表1、4。 (2)写出上面两个电路得逻辑表达式。 表1、3 Y=A ⊕B 表1、4 Y=A ⊕B Z=AB 4、逻辑门传输延迟时间得测量 用六反相器(非门)按图1、5接线,输80KHz 连续脉冲,用双踪示波器测输入,输出相位差,计算每个门得平均传输延迟时间得tpd 值 : tpd =0、2μs/6=1/30μs 5、利用与非门控制输出。 选用四二输入与非门74LS00一只,插入面包板,输入接任一电平开关,用示波器观察S对输出脉冲得控制作用: 一端接高有效得脉冲信号,另一端接控制信号。只有控制信号端为高电平时,脉冲信号才能通过。这就就是与非门对脉冲得控制作用。 6.用与非门组成其她门电路并测试验证 (1)组成或非门。 用一片二输入端与非门组成或非门 Y = A+ B = A ? B 画出电路图,测试并填表1、5 中。 表1、5 图如下: (2)组成异或门 ① 将异或门表达式转化为与非门表达式。 A ⊕B={[(AA)'B]'[A( B B)']}' ② 画出逻辑电路图。 ③ 测试并填表1、6。表1、6

西工大模电实验报告总结计划晶体管单级放大器.docx

实验一晶体管共射极单管放大器 一、实验目的 1、掌握用 multisim仿真软件分析单级放大器主要性能指标的方法。 2、掌握晶体管放大器静态工作点的调试和调整方法,观察静态工作点对放 大器输出波形的影响。 3、测量放大器的放大倍数、输入电阻和输出电阻。 二、实验原理 实验电路如图 2.1 -1 所示,采用基极固定分压式偏置电路。电路在接通直流电源 V cc而未加入信号( V i =0)时,三极管三个极电压和电流称为静态工作点, 即 图2.1 -1 晶体管单级放大器 V BQ=R2V CC/(R 2+R3+R7) I CQ=I EQ=(V BQ-V BEQ)/R 4 I BQ=I EQ/ β V CEQ= V CC-I CQ( R5+R4) 1、放大器静态工作点的选择和测量 放大器的基本任务是不失真的放大小信号。为了获得最大不失真输出电压, 静态工作点应选在输出特性曲线上交流负载线的中点。若工作点选的太高,则容易引起饱和失真;而选的太低,又易引起截止失真。 静态工作点的测量是指在接通电源电压后放大器输入端不加信号时,测量晶

体管的集电极电流I CQ和管压 降 V CEQ。其中V CEQ可直接用万用表直流电压档测C-E 极间的电压既得,而I CQ的测量则有直接法和间接法两种: (1)直接法:将万用表电流档串入集电极电路直接测量。此法精度高,但 要断开集电极回路,比较麻烦。 ( 2)间接法:用万用表直流电压档先测出R5上的压降,然后根据已知R5算出I CQ,此法简单,在实验中常用,但其测量精度差。为了减小测量误差,应选用内 阻较高的电压表。 当按照上述要求搭好电路,在输入端引入正弦信号,用示波器观察输出。静态工作点具体的调节步骤如下: 现象出现截止失真动作减小 R 出现饱和失真 增大 R 两种失真都出 现 减小输入信号 无失真 加大输入信号 根据示波器上观察到的现象,做出不同的调整动作,反复进行。当加大输入信号,两种失真都出现,减小输入信号,两种失真同时消失,可以认为此时的静态工作点正好处于交流负载线的中点,就是最佳的静态工作点。去掉输入信号,测量此时的 V CQ, 就得到了静态工作点。 2.电压放大倍数的测量 Ui 输出电压 Uo 之比 电压放大倍数是指放大器的输入电压 Au=Uo/Ui(2.1-5) 用示波器分别测出 Uo 和 Ui ,便可按式( 2.1-5)求得放大倍数,电压放大倍数与负载 Rl 有关。 3.输入电阻和输出电阻的测量 ( 1)输入电阻 Ri 用电流电压法测得,电路如图电阻 R=1kΩ,用示波器分别测出电阻两端电压 2.1-3 所示。在输入回路中串接Ui 和 Us,则可求得输入电阻Ri 为 Ri=Ui/Ri=Ui×R/(Us-Ui )(2.1-6)

数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一 自拟表格并记录: 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD 是什么? X1 2.5 V A B C D 示灯:灯亮表示“1”,灯灭表示“0” ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平

ABCD 接逻辑电平开关。 最简表达式为:X1=AB ’C ’D 密码为: 1001 A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片 ,和使用仿真软件来设计和构造逻辑电路来求解。 实验二 组合逻辑实验(一) 半加器和全加器 一.实验目的 1. 熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1. 复习用门电路设计组合逻辑电路的原理和方法步骤。 2. 复习二进制数的运算。 3. 用“与非门”设计半加器的逻辑图。 4. 完成用“异或门”、“与或非”门、“与 非”门设计全加器的逻辑图。 5. 完成用“异或”门设计的3变量判奇 电路的原理图。 三.元 件参考 U1A 74LS00D U1B 74LS00D U1C 74LS00D U1D 74LS00D U2A 74LS00D U2B 74LS00D U2C 74LS00D U3A 74LS20D X1 2.5 V X2 2.5 V VCC 5V A B C D

西北工业大学自动控制原理实验报告

实验一、二 典型环节的时间特性研究 一、目的要求 1.掌握典型环节的模拟运算电路的组成原理。 2.掌握惯性环节,比例微分环节,比例积分环节,比例,微分,积分环节,振荡环节的时间特性的实验验方法和特点。 二、实验电路及运算观察、记录 1惯性环节: 其中:T=R1C ,K=R1/R0 (1)模拟电路 图 (1) 典型惯性环节模拟电路 (2)注:‘S ST ’不能用“短路套”短接 (3)安置短路套 (4)测孔联线 (5)虚拟示波器(B 3)的联接:示波器输入 端CH 1接到A6单元信号输出端OUT (U0). 注:CH 1选“X1”档。时间量程选‘X4’档 (6)运行、观察、记录 打开计算机→我的电脑→D 盘→Aedk →LABACT.exe 进入LABACT 程序。 选择自动控制菜单下的线性系统实域分析→典型环节模拟研究分析→ 开始试验,弹出示波器显示界面,按下信号发生器(B1)阶跃信号按 钮时(0→+5v 阶跃),点击开始。测完特征后点“停止”,开始读数。 用示波器观测A6输出端(Uo )的实际响应曲线(t ),且将结果记下。 改变电容C 值(即改变时间常数),加Ui ,测Uo ,并将结果记录下来和 第一次的比较。 2.比例微分环节: )1() ()(S Kp s Ui s Uo T D += 其中: ,R3很小 (1)模拟电路

图 典型比例微分环节模拟电路 (2)输入连线 a.为了避免积分饱和,将函数发生器(B5)所产生的周期性方波信号(OUT ),代替信号发生器(B1)中的阶跃输出0/5V 作为环节的信号输入(Ui )。 b.将函数发生器(B5)中的插针‘S ST ’用短路套短接。 c.将S1拨动开关置于最上档(阶跃信号)。 d.信号周期由拨动开关S2和“调宽”旋钮调节,信号幅度由“调幅”旋钮调节(正输出宽度在70ms 左右,幅度在400mV 左右)。 注:CH1选’X1’档。时间量程选’/2’档。 (6)运行,观察,记录6单元信号输出端OUT(Uo) 操作和惯性环节实验相同,用示波器观察A6输出端(Uo)的实际响应曲线Uo(t),并将结果记下来,改变参数R1值,重新测试结果,并记录比较。 3.比例积分环节 )11()()(S Kp s Ui s Uo T I += 其中,R R Kp 01= ,C R T I 11= (1) 模拟电路

重庆大学计算机网络实验报告

《计算机网络》实验报告 一、实验目的 掌握3种UTP线缆的制作;了解3类UTP线缆的作用并能将其用于实际的网络组网;了解与布线有关的标准与标准组织 了解计算机网络组网的层次化原则;掌握局域网组网中从物理层到网络层所应完成的一般任务;掌握PING和IPCONFIG等命令的使用 学会简单组网;培养初步的协同工作能力 二、实验项目内容 5类UTP与6类UTP双绞线; 布线有关的标准组织及标准; 3种UTP线缆的作用和线图:直连线(Straight-thru),交叉线(Crossover)和反接线(Rollover); 制作直连线并进行网络互联的练习; 计算机网络组网的一般任务和层次化原则; 按要求进行网络拓扑连接和配置; PING和IPCONFIG实用网络工具

三、实验过程或算法(源程序) 按照网线的制作步骤制作网线: 准备工作:准备RJ45卡线钳一把,水晶头,网线; 制作步骤:共有四步,可以简单归纳为四个字:“剥”,“理”,“插”,“压” 1.剥线:剥线的长度为13mm~15mm,不宜太长或太短; 2.理线:按顺序整理平,遵守规则,否则不能正常通信; 3.插线:一定要平行插入到线顶端,以免触不到金属片; 4.检测:发射器和接收器两端的灯同时亮为正常。 (2)组网 在交换机上用做好的网线连接相邻的电脑,最后在cmd中用Ping命令检查是否连接成功。 四、实验结果及分析和(或)源程序调试过程 (1)结果及分析 有两种网线水晶头接线的方式:交叉线和直连线。我选择的是直连线式,按照双绞线颜色白橙,橙,白绿,蓝,白蓝,绿,白棕,棕的顺序插入并压制好,检验发现只有2,3,6,7连上了,然后跟同组的同学合力又做了两根,都能全部连上。把做好的网线连接到交换机上,成功验证了简单组网。 (2)个人小结

数电实验实验报告(Quartus)数码管循环显示

实验10 1、结果:同时显示012345 代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY sweep IS PORT( clk,clr:IN STD_LOGIC; --clk输入时钟;clr状态清零 a:OUT STD_LOGIC_VECTOR (6 DOWNTO 0); --输出数码管相同段 sweep:OUT STD_LOGIC_VECTOR (5 DOWNTO 0) --输出cat(数码管选通控制信号)端); END sweep; ARCHITECTURE sweep_arch OF sweep IS SIGNAL sweep_arc:STD_LOGIC_VECTOR (5 DOWNTO 0); --声明内部信号(选通控制信号)SIGNAL b:STD_LOGIC_VECTOR (6 DOWNTO 0); --声明内部信号(相同段信号) BEGIN PROCESS(sweep_arc) BEGIN IF (clk'event and clk='1') THEN IF clr='0' THEN --状态清零 sweep_arc <="011111" ; b <="0000000"; ELSE case sweep_arc IS --选通控制信号 WHEN"011111" => sweep_arc <="111110"; WHEN"111110" => sweep_arc <="111101"; WHEN"111101" => sweep_arc <="111011"; WHEN"111011" => sweep_arc <="110111"; WHEN"110111" => sweep_arc <="101111"; WHEN"101111" => sweep_arc <="011111"; WHEN OTHERS => sweep_arc <="011111"; END CASE; CASE sweep_arc IS --相同段信号 WHEN"011111" => b <="1011011"; WHEN"101111" => b <="0110011"; WHEN"110111" => b <="1111001"; WHEN"111011" => b <="1101101"; WHEN"111101" => b <="0110000"; WHEN"111110" => b <="1111110";

数电实验报告

班级:姓名: 学号: 实验报告(一)TTL集成逻辑门的逻辑功能与参数测试1.测试TTL集成与非门74LS20的逻辑功能,测试结果记录如下表: 输入输出 An Bn Cn Dn Yn 1 1 1 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 0 2. 74LS20主要参数的测试 I CCL (mA) I CCH (mA) I il (mA) I OL (mA) N O= iL OL I I 3. 电压传输特性测试 V i(V) 0 0.4 0.7 0.9 1.0 1.1 1.2 1.3 1.4 2.0 3.0 4.0 … V O(V) 4.画出实测的电压传输特性曲线,并从中读出各有关参数值。

实验报告(二)CMOS 电路 1.用所给的集成电路(CD4007)实现F=ABC ,将实验结果填入真值表中,并测出高、低电平(真值表自拟,测试步骤自拟)。 2. 用所给的集成电路实现F=C B A ++(真值表自拟,测试步骤自拟)。 3. 用所给的集成电路,构成图2-2反相器。 (a )测最大灌电流I OL (V OL =0.1V ,接通图2-2中的虚线框①)。 (b )测最大拉电流I OH (V OH =4.9V,断开虚线框①,接通虚线框②。 4. 构成如图2-3所示的反相器,测最大灌电流I OL 。

实验报告(三)组合逻辑电路实验分析与设计(1) 写出由与非门组成的半加器电路的逻辑表达式 (2) 根据表达式列出真值表,并画出卡诺图判断能否简化 A B Z1 Z2 Z3 S C 0 0 0 1 1 0 1 1 实验: 1.测试由与非门组成的半加器电路的逻辑功能 A B S C 0 0 0 1 1 0 1 1 2.测试用异或门74LS86和与非门74LS00组成的半加器的逻辑功能 A B S C 0 0 0 1 1 0 1 1

西工大模电实验报告 晶体管单级放大器

一、实验目的 1、掌握用multisim仿真软件分析单级放大器主要性能指标的方法。 2、掌握晶体管放大器静态工作点的调试和调整方法,观察静态工作点对放大器输出波形的影响。 3、测量放大器的放大倍数、输入电阻和输出电阻。 二、实验原理 实验电路如图-1所示,采用基极固定分压式偏置电路。电路在接通直流电 源V cc 而未加入信号(V i =0)时,三极管三个极电压和电流称为静态工作点,即 图-1 晶体管单级放大器 V BQ =R 2 V CC /(R 2 +R 3 +R 7 ) I CQ =I EQ =(V BQ -V BEQ) /R 4 I BQ =I EQ /β V CEQ =V CC -I CQ (R 5 +R 4 ) 1、放大器静态工作点的选择和测量 放大器的基本任务是不失真的放大小信号。为了获得最大不失真输出电压,静态工作点应选在输出特性曲线上交流负载线的中点。若工作点选的太高,则容易引起饱和失真;而选的太低,又易引起截止失真。 静态工作点的测量是指在接通电源电压后放大器输入端不加信号时,测量晶 体管的集电极电流I CQ 和管压降V CEQ 。其中V CEQ 可直接用万用表直流电压档测C-E 极间的电压既得,而I CQ 的测量则有直接法和间接法两种: (1)直接法:将万用表电流档串入集电极电路直接测量。此法精度高,但要断开集电极回路,比较麻烦。 (2)间接法:用万用表直流电压档先测出R 5上的压降,然后根据已知R 5 算出 I CQ ,此法简单,在实验中常用,但其测量精度差。为了减小测量误差,应选用内阻较高的电压表。 当按照上述要求搭好电路,在输入端引入正弦信号,用示波器观察输出。静态工作点具体的调节步骤如下:

相关文档
最新文档