版图设计实验报告

版图设计实验报告
版图设计实验报告

实验报告册

课程名称:集成电路版图设计教程姓名:

学号:

院系:

专业:

教师:

2016 年5 月15 日

实验一: OP电路搭建

一、实验目的:

1.搭建实体电路。

2.为画版图提供参考。

3.方便导入网表。

4.熟悉使用cadence。

二、实验原理和内容:

根据所用到的mn管分析各部分的使用方法,简化为几个小模块,其中有两个差分对管。合理运用匹配规则,不同的MOS管可以通过打孔O来实现相互的连接。

三、实验步骤:

1.新建设计库。在file→new→library;在name输入自己的学号;右边选择:attch to……;选择sto2→OK。然后在tools→library manager下就可看到自己建的库。

2.新建CellView。在file→new→CellView;cell栏输入OP,type →选择layout。

3.加器件。进入自己建好的电路图,选择快捷键I进行调用器件。MOS 管,在browse下查找sto2,然后调用出自己需要的器件。

4.连线。注意:若线的终点没有别的电极或者连线,则要双击左键才能终止画线。一个节点只能引出3根线。无论线的起点或是终点,光标都应进入红色电极接电。

5.加电源,和地符号。电源Vdd和地Vss的符号在analoglib库中选择和调用,然后再进行连线。

(可以通过Q键来编辑器件属性,把实验规定的MOS管的width和length数据输入,这样就可以在电路图的器件符号中显示出来)

6.检查和保存。命令是check and save。(检查主要针对电路的连接关系:连线或管脚浮空,总线与单线连接错误等)如果有错和警告,在‘schematic check’中会显示出错的原因,可以点击查看纠正。(画完后查看完整电路按快捷键F,连线一定要尽量节约空间,简化电路)

四、实验数据和结果:

导出电路网表的方法:新建文件OP,file→Export→OP(library browser 选NAND2),NAND.cdl,Analog √

由于电路图老师已经给了我们,所以直接调用即可:调用的电路图如下所示:

接着进入下一步:

直接进入导入网表步骤:

五、实验总结:

1.在老师讲解后,基本掌握了电路的基本画法,知道如何建立一个库,并调用库里面的器件,然后进行连接。

2.通过老师的讲解,深刻体会到了本课程在集成电路设计制作中的重要性,画版图是最基础但也是最重要的工作。

3.画版图时,由于模块很多,经常容易画错,这就要求我们对实验电路本身有足够的理解,以及要有清晰的思路和极大的耐心,在本课程学习之后这些方面都有了一定程度的提高。

4.在学习画版图的过程中,经常会有一些疑问以及错误,自己也很难找出来,但是在老师和同学的帮助下,依然能够完成,因此在之后的生活工作中一定要继续保持这种多思考、多提问、团结协作的精神劲头。

实验二:OP的版图设计

一、实验目的:

1.掌握版图设计的整体流程。

2.掌握版图设计相关工具的使用。

3.掌握版图设计的技巧和方法。

4.熟悉DRC进行检验和改错。

5.学会运用LVS来检查和纠正错误。

二、实验原理和内容:

相同的MOS管可以通过找公用端(节省面积),并且将其合并,孔要完全重合。实验中要合理运用电流镜和差分对管,先画单个模块,然后再运用匹配将可以结合的单个的MOS管相匹配。

三、实验步骤:

模块一:在图中可以发现两个明显的学过的MOS管,他们名为电流镜:

电流镜作用是:用于分压的电阻,用于电流比例设定的电阻;

画出来的版图对应如下:

模块二:为一组差对分管

完全匹配的一对同种MOS晶体管,他们具有相同的电学参数和几何参数,电路上构成共源结构:电路图如下

参照老师所讲的相关匹配规则合理连接,然后画出来的版图如下:

模块三:下图为一些电容和电阻构成整个电路,合理的分工很重要,下图就是OP所需要的一些器件;

画出来对应的版图如下:

电阻:

接着是电容的版图:

模块四:一些其他的电路部位,

汇总下后画完剩下的部位:

对画好的各个部件进行连接、排版,最终得出来的总版图如下:

四、实验据和结果:

DRC步骤及报错结果:

(1)选择calibre里的第一个run DRC,如图所示。然后在弹出的对话框

(2)在弹出的界面里,运行DRC步骤应该按照如下进行:

第一步:在DRC Run Directory 对应的右边选择DRC,然后选择OK 第二步:在弹出的窗口中点击RUN DRC,等一会儿就会出现结果

运行DRC得到如下截图:

通过DRC 运行结果可以得出如下结论:

版图布局较好,各部分器件连接较为准确。运行结果无错。

LVS报错结果如下图所示:

五、实验总结:

1. 通过这次集成电路版图设计课程的学习,我已经初步的掌握了Cadence软件基本操作方法,并能够独立的运用该软件设计版图,灵活的根据要求绘制版图,我想这对我今后学习或者工作大有裨益,今后,我要更多的运用该软件,达到熟练掌握的目的,在我们锻炼动手能力的同时,学到更多的有关专业知识。

2.这次版图设计我做的是OP电路的搭建。在我做集成电路版图设计过程中的困难之一是分不清楚集成器件的工艺层次结构。第一次使用Cadence软件设计版图设计的过程中,对于我来说工艺部分的尺寸调节以及各个部分的排版搭建这个环节是我遇到的最大困难。不过在后来的摸索中我熟悉使用了它的一些调节规则。让我在之后的画图中更加游刃有余。

3.在做集成电路版图设计的过程中,我觉得先熟悉整个电路的连接以及提前设计好画图的过程步骤可以提高版图制作效率。在设计出电路的前提下,熟悉设计规则后,在编辑界面上先依据设计规则大概绘制出版图结构,进行DRC仿真后再依次改正错误。我觉得这个调节对于整个版图的最终完成会有事半功倍的效果。

4.在整个版图的制作过程中,。有很多常用的基础知识需要我们掌握,如一些特定的参数符号等,让我认识到在整个大制作的环境下,对于

一些基础知识的掌握显得更加重要,打好基础才能好将最后的版图完成的更加精致。

5.尽管在集成电路版图设计的过程中遇到了很多问题,但是通过这次集成电路版图设计让我再次认识到英语以及自我学习能力的重要性。

机械设计综合实验指导书与实验报告

机械设计综合实验指导书 及实验报告 班级 学号 姓名 机械基础实验中心雷代明 2017年3月 第一部分机械设计

实验一机械零件认知与分析实验 一、实验目的 1、熟悉常用的机械零件的基本结构,以便对所学理论知识产生一定的感性认识。 2、分析常用机械零件的基本构造及制造原理。 3、了解常用机械零件的实际使用情况。 二、实验内容 通过观察,掌握常用的机械零件的基本结构及应用场合。 三、实验简介 机械零件陈列观摩,共包括: (1)螺纹联接与应用 (2)键、花键、销、铆、焊、铰接 (3)带传动 (4)链传动 (5)齿轮传动 (6)蜗杆传动 (7)滑动轴承与润滑密封 (8)滚动轴承与装置设计 (9)轴的分析与设计 (10)联轴器与离合器。 共10个陈列柜,罗列了机械设计内容中大多数常用的基本零件与标准件,并对相应的零件进行了结构和基本受力分析,联接和安装的基本方法的说明,有些常用的零件还给出了简单的应用举例。 通过本实验的观摩,学生可以对照书本所学的基本内容,初步领会机械设计的一些常用零部件的基本设计与应用原理,从而达到举一反三的教学目的,对其所学的课本理论知识进一步巩固和深化。 四、实验要求 1、学生必须带上课本,以便于与书本内容进行对照观察。 2、进入实验室必须保持安静,不得大声喧哗,以免影响其他同学。 3、不得私自打开陈列柜,不得用手触摸各种机械零件模型。 4、服从实验人员的安排,认真领会机械零件的构造原理。 五、思考题 1、常用螺纹联接的方法有哪些? 2、说明无键联结的优缺点. 3、在带传动中,带张紧的方法有哪些?

4、轴上零件轴向常用的定位方法有哪些?举例说明。 第二章滑动轴承实验 实验二滑动轴承基本性能实验 一、概述 滑动轴承用于支承转动零件,是一种在机械中被广泛应用的重要零部件。根据轴承的工作原理,滑动轴承属于滑动摩擦类型。滑动轴承中的润滑油若能形成一定的油膜厚度而将作相对转动的轴承与轴颈表面分开,则运动副表面就不发生接触,从而降低摩擦、减少磨损,延长轴承的使用寿命。 根据流体润滑形成原理的不同,润滑油膜分为流体静压润滑(外部供压式)及流体动压润滑(内部自生式),本章讨论流体动压轴承实验。 流体动压润滑轴承其工作原理是通过轴颈旋转,借助流体粘性将润滑油带入轴颈与轴瓦配合表面的收敛楔形间隙内,由于润滑油由大端入口至小端出口的流动过程中必须满足流体流动连续性条件,从而润滑油在间隙内就自然形成周向油膜压力(见图2-1),在油膜压力作用下,轴颈由图2-1(a)所示的位置被推向图2-1(b)所示的位置。 当动压油膜的压力p在载荷F方向分力的合力与载荷F平衡时,轴颈中心处于某一相应稳定的平衡位置O1,O1位置的坐标为O1(e,φ)。其中e=OO1,称为偏心距;φ为偏位角(轴承中心0与轴颈中心0l连线与外载荷F作用线间的夹角)。 随着轴承载荷、转速、润滑油种类等参数的变化以及轴承几何参数(如宽径比、相对间隙)的不同,轴颈中心的位置也随之发生变化。对处于工况参数随时间变化下工作的非

课程设计实验报告

竭诚为您提供优质文档/双击可除 课程设计实验报告 篇一:课程设计(综合实验)报告格式 课程设计报告 (20XX--20XX年度第一学期) 名称:题目:院系:班级:学号:学生姓名:指导教师:设计周数: 成绩:日期:《软件设计与实践》课程设计计算机系软件设计与实践教学组 20XX年1月14 日 《软件设计与实践》课程设计 任务书 一、目的与要求 1.了解网络爬虫的架构和工作原理,实现网络爬虫的基本框架;2.开发平台采用JDK1.60eclipse集成开发环境。 二、主要内容 1.了解网络爬虫的构架,熟悉网页抓取的整个流程。

2.学习宽度优先和深度优先算法,实现宽度crawler应用程序的编写、调试和运行。 3.学习主题爬行及内容分析技术。 4.实现网络爬虫的基本框架。 三、进度计划 四、设计成果要求 1.要求按时按量完成所规定的实验内容; 2.界面设计要求友好、灵活、易操作、通用性强、具有实用性; 3.基本掌握所采用的开发平台。五、考核方式 平时成绩+验收+实验报告。 学生姓名:于兴隆指导教师:王蓝婧20XX年1月2日 一、课程设计的目的与要求1.目的: 1.1掌握crawler的工作原理及实现方法;1.2了解爬虫架构; 1.3熟悉网页抓取的整个流程及操作步骤; 1.4掌握宽度优先,深度优先算法,并实现宽度crawler 应用程序的编写、调试和运行;1.5掌握主题爬行及内容分析技术;1.6实现一个最基础的主题爬虫的过程;1.7理解pageRank算法,并编程验证;二、设计正文 网络爬虫研究与应用 [摘要]:本文通过对网络爬虫研究的逐步展开,讨论了爬虫的相关概念与技术,并通过实验设计了简单的基于宽度

【精品实验报告】软件体系结构设计模式实验报告

【精品实验报告】软件体系结构设计模式实验报告软件体系结构 设计模式实验报告 学生姓名: 所在学院: 学生学号: 学生班级: 指导老师: 完成日期: 一、实验目的 熟练使用PowerDesigner和任意一种面向对象编程语言实现几种常见的设计模式,包括组合模式、外观模式、代理模式、观察者模式和策略模式,理解每一种设计模式的模式动机,掌握模式结构,学习如何使用代码实现这些模式,并学会分析这些模式的使用效果。 二、实验内容 使用PowerDesigner和任意一种面向对象编程语言实现组合模式、外观模式、代理模式、观察者模式和策略模式,包括根据实例绘制模式结构图、编写模式实例实现代码,运行并测试模式实例代码。 (1) 组合模式 使用组合模式设计一个杀毒软件(AntiVirus)的框架,该软件既可以对某个文件夹(Folder)杀毒,也可以对某个指定的文件(File)进行杀毒,文件种类包括文本文件TextFile、图片文件ImageFile、视频文件VideoFile。绘制类图并编程模拟实现。 (2) 组合模式 某教育机构组织结构如下图所示: 北京总部 教务办公室湖南分校行政办公室 教务办公室长沙教学点湘潭教学点行政办公室

教务办公室行政办公室教务办公室行政办公室 在该教育机构的OA系统中可以给各级办公室下发公文,现采用 组合模式设计该机构的组织结构,绘制相应的类图并编程模拟实现,在客户端代码中模拟下发公文。(注:可以定义一个办公室类为抽象叶子构件类,再将教务办公室和行政办公室作为其子类;可以定义一个教学机构类为抽象容器构件类,将总部、分校和教学点作为其子类。) (3) 外观模式 某系统需要提供一个文件加密模块,加密流程包括三个操作,分别是读取源文件、加密、保存加密之后的文件。读取文件和保存文件使用流来实现,这三个操作相对独立,其业务代码封装在三个不同的类中。现在需要提供一个统一的加密外观类,用户可以直接使用该加密外观类完成文件的读取、加密和保存三个操作,而不需要与每一个类进行交互,使用外观模式设计该加密模块,要求编程模拟实现。参考类图如下: reader = new FileReader();EncryptFacadecipher = new CipherMachine();writer = new FileWriter();-reader: FileReader-cipher: CipherMachine-writer: FileWriter +EncryptFacade () +fileEncrypt (String fileNameSrc,: voidString plainStr=reader.read(fileNameSrc); String fileNameDes)String

Web网页设计实验报告

WEB系统开发 综合实验报告 题目红尘客栈网上订房页面 专业计算机科学与技术(信息技术及应用) 班级计信2班 学生蒋波涛 重庆交通大学 2013年

目录 一、设计目的 (3) 二、设计题目 (3) 三、结构设计 (3) 四、技术分析 (4) 五、设计过程 (7) 六、实验心得 (10) 七、实验总结 (11)

一、设计目的 在Internet飞速发展的今天,互联网成为人们快速获取、发布和传递信息的重要渠道,它在人们政治、经济、生活等各个方面发挥着重要的作用。因此网站建设在Internet 应用上的地位显而易见,它已成为政府、企事业单位信息化建设中的重要组成部分,从而倍受人们的重视。我们当代大学生更是离不开网络给我们带来的好处与便利.但是,我们成天浏览的网站网页到底是如何制作的呢?我想这一点很多同学都没有去深究过.所以,这学期我选择了”web网页设计”这门课, 本课程的设计目的是通过实践使同学们经历网页制作的全过程. 通过设计达到掌握网页设计、制作的技巧。 了解和熟悉网页设计的基础知识和实现技巧。根据题目的要求,给出网页设计方案,可以按要求,利用合适图文素材设计制作符合要求的网页设计作品。 熟练掌握Photoshop cs3、Dreamweaver cs等软件的的操作和应用。增强动手实践能力,进一步加强自身综合素质。学会和团队配合,逐渐培养做一个完整项目的能力。 二、设计题目 《红尘客栈》 三、结构设计 选定主题,确定题目之后,在做整个网站之前对网站进行需求分析。首先,做好需求调研。调研方式主要是上网查阅资料,在图书馆里翻阅相关书籍。 然后,调研结束之后对整个网站进行功能描述,并对网站进行总体规划,接着逐步细化。 我们选做的主题是个人主页,并且选定题目为“红尘客栈”,其目的是做一个简单的网站,介绍酒店概况,提供一定的资讯信息。 四、技术分析 (一)建立布局 在这次的网页设计中用到大量的布局,所以怎么样建立布局是关键。Dreamweaver cs3是大多数人设计网页的称手兵器,也是众多入门者的捷径。特别是其在布局方面的出色表现,更受青睐。大家都知道,没有表格的帮助,很难组织出一个协调合理的页面。 1.点击“ALT+F6”键,进入布局模式,插入布局表格。建立一个大概的布局。 2.使用背景图片:选中该项,按浏览可以插入一幅准备好的图片作为表格的背景,因为图片是以平铺的形式作为表格背景,所以表格大小和图片尺寸都要控制好。 (二)网页中的图像

字体与版式设计实训指导手册

一、实验介绍 实训项目一姓名字体设计 二、实验目的; 运用所学的字体与版式设计的思维与方法,按照字体与版式设计的要求,设计属于自己姓名的字体设计,培养自己对字体与版式设计的理解与认识,锻炼字体设计的各方面能力。 三、实验环境; 机房电脑操作,PS AI软件 四、实验任务; 做自己的姓名设计,通过名字的创意设计来展示自己的内在个性,充分认识字体创意的重要性。 五、实验原理及步骤; 创意构思——选择适合的字体表现形式——草稿绘制——设计制作——评析 六、实验报告内容要求 1)简要的实验操作步骤 2)实验完成情况说明; 3)实验过程中存在的问题; 4)实验心得。

一、实验介绍 实训项目二实题项目的字体与版式设计 二、实验目的; 熟练掌握书记封面设计的版式设计形式和特征,能独立完成设计作品。 三、实验环境; 机房电脑操作,PS AI软件 四、实验任务; 书记封面设计一副 1.根据实题项目独立完成设计作品 2.版式设计要有创意,体现个性风格 3.注重形式美感,体现版式设计的完整性 4.表现技法不限,要求制作工艺精湛 五、实验原理及步骤; 选定主题——创意构思——选择适合的字体表现形式——草稿绘制——设计制作——评析 六、实验报告内容要求 1)简要的实验操作步骤 2)实验完成情况说明; 3)实验过程中存在的问题; 4)实验心得。

一、实验介绍 实训项目三 二、实验目的; 熟练掌握书记封面设计的版式设计形式和特征,能独立完成设计作品。 三、实验环境; 机房电脑操作,PS AI软件 四、实验任务; 书记封面设计一副 5.根据实题项目独立完成设计作品 6.版式设计要有创意,体现个性风格 7.注重形式美感,体现版式设计的完整性 8.表现技法不限,要求制作工艺精湛 五、实验原理及步骤; 选定主题——创意构思——选择适合的字体表现形式——草稿绘制——设计制作——评析 六、实验报告内容要求 1)简要的实验操作步骤 2)实验完成情况说明; 3)实验过程中存在的问题; 4)实验心得。

微机综合设计实验报告

微机接口实验报告 学院:计算机与通信工程学院专业:计算机科学与技术 班级: 学号: 姓名: 综合设计实验

带分频的AD转换 实现功能: 利用8254实现分频功能,再利用AD0809实现数模转换功能。 设计思路: 首先利用8254芯片的计时功能,将CLK0端输入的1MHz的脉冲信号分频为0.1MHz的脉冲,并且从OUT0端输出,然后将输出的脉冲信号作为AD0809数模转换单元的输入信号,从而实现8254的分频功能和AD0809的数模转换功能。 设计接线图: 实验代码: (加粗为分频部分代码,未加粗为AD转换部分代码) IO8254_MODE EQU 283H ;8254控制寄存器端口地址 IO8254_COUNT0 EQU 280H ;8254计数器0端口地址 IO0809 EQU 298H ;AD0809DE STACK1 SEGMENT STACK DW 256 DUP(?) STACK1 ENDS CODE SEGMENT ASSUME CS:CODE START: MOV DX, IO8254_MODE ;初始化8254工作方式 MOV AL,37H ;计数器0,方式3 00110111 OUT DX,AL MOV DX,IO8254_COUNT0 ;装入计数初值 MOV AX,000AH ;10D=0AH(可以自己设计分频倍数或者利用多个计数器实现更大倍数的分频) MOV AL,03H

OUT DX,AL MOV AL,AH OUT DX,AL MOV DX, IO0809 ;启动A/D转换器 OUT DX, AL MOV CX, 0FFH ;延时 DELAY: LOOP DELAY IN AL, DX ;从A/D转换器输入数据 MOV BL,AL ;将AL保存到BL MOV CL, 4 SHR AL, CL ;将AL右移四位 CALL DISP ;调显示子程序显示其高四位 MOV AL, BL AND AL, 0FH CALL DISP ;调显示子程序显示其低四位 MOV AH, 02 MOV DL, 20H ;加回车符 INT 21H MOV DL, 20H INT 21H PUSH DX MOV DL, 0FFH ;判断是否有键按下 MOV AH, 06H INT 21H POP DX JE START ;若没有转START MOV AH, 4CH ;退出 INT 21H DISP PROC NEAR ;显示子程序 MOV DL, AL CMP DL, 9 ;比较DL是否>9 JLE DDD ;若不大于则为'0'-'9',加30h为其ASCII码 ADD DL, 7 ;否则为'A'-'F',再加7 DDD: ADD DL,30H ;显示 MOV AH, 02 INT 21H RET DISP ENDP CODE ENDS END START

设计模式实验报告

实验一单例模式的应用 1 实验目的 1) 掌握单例模式(Singleton)的特点 2) 分析具体问题,使用单例模式进行设计。 2 实验内容和要求 很多应用项目都有配置文件,这些配置文件里面定义一些应用需要的参数数据。 通常客户端使用这个类是通过new一个AppConfig的实例来得到一个操作配置文件内容的对象。如果在系统运行中,有很多地方都需要使用配置文件的内容,系统中会同时存在多份配置文件的内容,这会严重浪费内存资源。 事实上,对于AppConfig类,在运行期间,只需要一个对象实例就够了。那么应该怎么实现呢?用C#控制台应用程序实现该单例模式。绘制该模式的UML 图。 3 实验代码 using System; using System.Collections.Generic; using System.Linq; using System.Text; using System.Threading.Tasks; namespace AppConfig { publicclass Singleton { privatestatic Singleton instance; private Singleton() {

} publicstatic Singleton GetInstance() { if (instance == null) { instance = new Singleton(); } return instance; } } class Program { staticvoid Main(string[] args) { Singleton singletonOne = Singleton.GetInstance(); Singleton singletonTwo = Singleton.GetInstance(); if (singletonOne.Equals(singletonTwo)) { Console.WriteLine("singletonOne 和 singletonTwo 代表的是同一个实例"); } else { Console.WriteLine("singletonOne 和 singletonTwo 代表的是不同实例"); } Console.ReadKey(); } } } 4 实验结果

温度测量控制系统的设计与制作实验报告(汇编)

北京电子科技学院 课程设计报告 ( 2010 – 2011年度第一学期) 名称:模拟电子技术课程设计 题目:温度测量控制系统的设计与制作 学号: 学生姓名: 指导教师: 成绩: 日期:2010年11月17日

目录 一、电子技术课程设计的目的与要求 (3) 二、课程设计名称及设计要求 (3) 三、总体设计思想 (3) 四、系统框图及简要说明 (4) 五、单元电路设计(原理、芯片、参数计算等) (4) 六、总体电路 (5) 七、仿真结果 (8) 八、实测结果分析 (9) 九、心得体会 (9) 附录I:元器件清单 (11) 附录II:multisim仿真图 (11) 附录III:参考文献 (11)

一、电子技术课程设计的目的与要求 (一)电子技术课程设计的目的 课程设计作为模拟电子技术课程的重要组成部分,目的是使学生进一步理解课程内容,基本掌握电子系统设计和调试的方法,增加集成电路应用知识,培养学生实际动手能力以及分析、解决问题的能力。 按照本专业培养方案要求,在学完专业基础课模拟电子技术课程后,应进行课程设计,其目的是使学生更好地巩固和加深对基础知识的理解,学会设计小型电子系统的方法,独立完成系统设计及调试,增强学生理论联系实际的能力,提高学生电路分析和设计能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 (二)电子技术课程设计的要求 1.教学基本要求 要求学生独立完成选题设计,掌握数字系统设计方法;完成系统的组装及调试工作;在课程设计中要注重培养工程质量意识,按要求写出课程设计报告。 教师应事先准备好课程设计任务书、指导学生查阅有关资料,安排适当的时间进行答疑,帮助学生解决课程设计过程中的问题。 2.能力培养要求 (1)通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 (2)通过实际电路方案的分析比较、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 (3)掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 (4)综合应用课程中学到的理论知识去独立完成一个设计任务。 (5)培养严肃认真的工作作风和严谨的科学态度。 二、课程设计名称及设计要求 (一)课程设计名称 设计题目:温度测量控制系统的设计与制作 (二)课程设计要求 1、设计任务 要求设计制作一个可以测量温度的测量控制系统,测量温度范围:室温0~50℃,测量精度±1℃。 2、技术指标及要求: (1)当温度在室温0℃~50℃之间变化时,系统输出端1相应在0~5V之间变化。 (2)当输出端1电压大于3V时,输出端2为低电平;当输出端1小于2V时,输出端2为高电平。 输出端1电压小于3V并大于2V时,输出端2保持不变。 三、总体设计思想 使用温度传感器完成系统设计中将实现温度信号转化为电压信号这一要求,该器件具有良好的线性和互换性,测量精度高,并具有消除电源波动的特性。因此,我们可以利用它的这些特性,实现从温度到电流的转化;但是,又考虑到温度传感器应用在电路中后,相当于电流源的作用,产生的是电流信号,所以,应用一个接地电阻使电流信号在传输过程中转化为电压信号。接下来应该是对产生电压信号的传输与调整,这里要用到电压跟随器、加减运算电路,这些电路的实现都离不开集成运放对信号进行运算以及电位器对电压调节,所以选用了集成运放LM324和电位器;最后为实现技术指标(当输出端1电压大于3V时,输出端2为低电平;当输出端1小于2V时,输出端2为高电平。输出端1电压小于3V并大于2V时,输出端2保持不变。)中的要求,选用了555定时器LM555CM。 通过以上分析,电路的总体设计思想就明确了,即我们使用温度传感器AD590将温度转化成电压信号,然后通过一系列的集成运放电路,使表示温度的电压放大,从而线性地落在0~5V这个区间里。最后通过一个555设计的电路实现当输出电压在2与3V这两点上实现输出高低电平的变化。

PPT的实验报告[新版].doc

膈莅蕿罿 计算机实验报告 课程名称:大学计算机基础 实验名称: 学院:专业: 报告人:级: 同组人: 指导教师: 实验时间: 实验报告提交时间: 教务处制 一、实验目的 ①掌握演示文稿制作的基本过程②按时文稿播放的基本操作 二、实验内容 ㈠powerpoint的启动及其窗口 ⒈大纲窗格 ⒉演示文稿编辑区⒊备注区 ㈡演示文稿的创建、保存与打开 ⒈演示文稿的创建⒉插入对象 ⒊演示文稿的保存⒋演示文稿的关闭⒌演示文稿的打开 ㈢演示文稿视图 ⒈普通视图 ⒉幻灯片浏览视图⒊幻灯片放映视图 ㈣格式化幻灯片 ⒈文本格式化⒉修饰幻灯片背景⒊使用配色方案⒋修改母版⒌应用版式⒍应用模板 ㈤管理幻灯片 ⒈选择幻灯片⒉删除幻灯片 ⒊插入新幻灯片⒋移动与复制幻灯片 ㈥幻灯片的放映 ⒈默认的播放效果 ⒉设置幻灯片切换方式⒊设置动画 ㈦打印幻灯片㈧应用举例 ㈨powerpoint的高级应用 ⒈个人简历的制作⒉旅游推荐的制作 三、实验结果展示 (1)powerpoint的启动及其窗口 执行“开始”→“所有程序”→“microsoft office”→“microsoft office powerpoint 2003”命令,或双击桌面上的powerpoint快捷图标,打开powerpoint应用程序窗口(2)演示文稿的创建、保存与打开 1、演示文稿的创建 建立第一张文稿 启动powerpoint后,演示文稿编辑区显示一张空白的幻灯片。用户可以先单击标题文本框,输入文本“深圳大学信息学院”。 建立第二张文稿

执行“插入”菜单的“新幻灯片”命令,powerpoint会自动增加一张版式为“标题和文 本”的新幻灯片。 2、插入对象 插入对象 在powerpoint中可以插入的对象包括了文本、艺术字、表格、图形和图片等。使用插入 对象可以丰富幻灯片的内容。 使用“插入”菜单的“对象”命令,出现“插入对象”对话框。 [羃袅莄蕿] 选择“新建”则直接从应用软件中创建;选择“由文件创建”则插入内容为已存在的对 象文件。 powerpoint也提供了一些剪贴画,可使用“插入”菜单的“图片”→“剪贴画”命令插 入剪贴画。如图6-6为插入了剪贴画的幻灯片。 单击“绘图”工具栏的“插入艺术字”按钮,可插入艺术字。 插入图片 3、演示文稿的保存 演示文稿建立完毕,使用“文件”菜单的“保存”命令保存文稿。首次保存会出现“另 存为”对话框,可以选择保存的位置、类型、文件名,再次则不在出现。若希望改变某些保 存选项,可使用“文件”菜单的“另存为”命令。 演示文稿存盘后,其文件扩展名为.pps。 4、演示文稿的关闭 使用“文件”菜单的“关闭”命令可关闭暂时不再使用的演示文稿。 5、演示文稿的打 开 执行“文件”菜单的“打开”命令可以打开一个已存在的演示文稿。篇二:ppt实验报 告 ??实验报告 一、实验目的 1. 熟悉office软件的编辑制作环境;熟练掌握 powerpoint演示文稿图形程序,掌握 课件基本动态的设置和交互创建方法。 2.熟悉多媒体课件的开发流程,形成初步的多媒体课件的设计、开发能力;提高学生 的合作意识,培养学生创新能力。 二、实验环境 多媒体计算机; windows xp 操作系统 三、实验内容 [肄芅蚁螃] 实验内容:利用powerpoint程序设计与制作一个内容相对完整的自学演示型课件。结合 自己的专业,选择了比较合适的教学内容,有利于让学生更好的接受该课程,更好的理解该课 程教学的重难点,学习更多的知识。 [芁螀袈羀] 四、操作步骤 [蒂蚁罿蒂] 1.首先准备好要做成模版的图片,打开powerpoint并新建一个空白的ppt文档。 2.视图→母版→幻灯片母版,进入母版编辑状态。??点击绘图工具栏上的“插入图 片”按钮(或按“插入→图片→来自文件”),选中要做为模版的图片,确定。并调整图 片大小,使之与母版大小一致。 ?? 3.在图片上点击鼠标右键,叠放次序→置于底层,使图片不能影响对母版排版的编辑。

计算机操作系统综合设计实验报告实验一

计算机操作系统综合设计 实验一 实验名称:进程创建模拟实现 实验类型:验证型 实验环境: win7 vc++6.0 指导老师: 专业班级: 姓名: 学号: 联系电话: 实验地点:东六E507 实验日期:2017 年 10 月 10 日 实验报告日期:2017 年 10 月 10 日 实验成绩:

一、实验目的 1)理解进程创建相关理论; 2)掌握进程创建方法; 3)掌握进程相关数据结构。 二、实验内容 windows 7 Visual C++ 6.0 三、实验步骤 1、实验内容 1)输入给定代码; 2)进行功能测试并得出正确结果。 2、实验步骤 1)输入代码 A、打开 Visual C++ 6.0 ; B、新建 c++ 文件,创建basic.h 头文件,并且创建 main.cpp 2)进行功能测试并得出正确结果 A 、编译、运行main.cpp B、输入测试数据 创建10个进程;创建进程树中4层以上的数型结构 结构如图所示:。

createpc 创建进程命令。 参数: 1 pid(进程id)、 2 ppid(父进程id)、3 prio(优先级)。 示例:createpc(2,1,2) 。创建一个进程,其进程号为2,父进程号为1,优先级为2 3)输入创建进程代码及运行截图 4)显示创建的进程

3、画出createpc函数程序流程图 分析createpc函数的代码,画出如下流程图:

四、实验总结 1、实验思考 (1)进程创建的核心内容是什么? 答: 1)申请空白PCB 2)为新进程分配资源 3)初始化进程控制块 4)将新进程插入到就绪队列 (2)该设计和实际的操作系统进程创建相比,缺少了哪些步骤? 答:只是模拟的创建,并没有分配资源 2、个人总结 通过这次课程设计,加深了对操作系统的认识,了解了操作系统中进程创建的过程,对进程创建有了深入的了解,并能够用高 级语言进行模拟演示。一分耕耘,一分收获,这次的课程设计让 我受益匪浅。虽然自己所做的很少也不够完善,但毕竟也是努 力的结果。另外,使我体会最深的是:任何一门知识的掌握, 仅靠学习理论知识是远远不够的,要与实际动手操作相结合才能 达到功效。

电子电路综合设计实验报告

电子电路综合设计实验报告 实验5自动增益控制电路的设计与实现 学号: 班序号:

一. 实验名称: 自动增益控制电路的设计与实现 二.实验摘要: 在处理输入的模拟信号时,经常会遇到通信信道或传感器衰减强度大幅变化的情况; 另外,在其他应用中,也经常有多个信号频谱结构和动态围大体相似,而最大波幅却相差甚多的现象。很多时候系统会遇到不可预知的信号,导致因为非重复性事件而丢失数据。此时,可以使用带AGC(自动增益控制)的自适应前置放大器,使增益能随信号强弱而自动调整,以保持输出相对稳定。 自动增益控制电路的功能是在输入信号幅度变化较大时,能使输出信号幅度稳定不变或限制在一个很小围变化的特殊功能电路,简称为AGC 电路。本实验采用短路双极晶体管直接进行小信号控制的方法,简单有效地实现AGC功能。 关键词:自动增益控制,直流耦合互补级,可变衰减,反馈电路。 三.设计任务要求 1. 基本要求: 1)设计实现一个AGC电路,设计指标以及给定条件为: 输入信号0.5?50mVrm§ 输出信号:0.5?1.5Vrms; 信号带宽:100?5KHz; 2)设计该电路的电源电路(不要际搭建),用PROTE软件绘制完整的电路原理图(SCH及印制电路板图(PCB 2. 提高要求: 1)设计一种采用其他方式的AGC电路; 2)采用麦克风作为输入,8 Q喇叭作为输出的完整音频系统。 3. 探究要求: 1)如何设计具有更宽输入电压围的AGC电路; 2)测试AGC电路中的总谐波失真(THD及如何有效的降低THD 四.设计思路和总体结构框图 AGC电路的实现有反馈控制、前馈控制和混合控制等三种,典型的反馈控制AGC由可变增益放大器(VGA以及检波整流控制组成(如图1),该实验电路中使用了一个短路双极晶体管直接进行小信号控制的方法,从而相对简单而有效实现预通道AGC的功能。如图2,可变分压器由一个固定电阻R和一个可变电阻构成,控制信号的交流振幅。可变电阻采用基极-集电极短路方式的双极性晶体管微分电阻实现为改变Q1电阻,可从一个由电压源V REG和大阻值电阻F2组成的直流源直接向短路晶体管注入电流。为防止Rb影响电路的交流电压传输特性。R2的阻值必须远大于R1。

软件设计模式与软件体系结构实验报告

《软件体系结构》大作业(1) 学院:软件学院 课程名称:软件体系结构 专业班级: 学生姓名:学号: 学生姓名:学号: 指导教师: 完成时间:年月日 评分表 1、叙述各小组成员完成本题目的分工协作情况。 小组中的每个成员都先理解题目要求及涉及的设计模式,并一起完成代码编写。另外,组长负责文档制作。 2、评分表 序号姓名评分是否组长 1 2 作业正文需要包括以下内容: 1、作业题目内容的详细描述。 2、完成本题目所采用的软件设计模式名称及画出相应的类图,或者是所采用的 软件体系结构名称及画出相应的体系结构图。

3、画出完成本题目所设计程序的设计类图;如还有其他图,也一并画出。 4、完成本题目所设计的程序代码。 5、程序运行的典型界面截图

1、作业题目内容的详细描述。 【作业2.1-1】例2.3为使用工厂方法模式设计的汽车保险管理应用程序实例。现在需要 扩展例2.3的设计图,添加一个名为LuxuryCarInsurance的类,并且需要编写此类和其他需要添加的类的代码,详细要求参见光盘的相应作业部分。 【作业2.1-1】在例2.4中,设计并且实现了豪华(Super)和中等(Medium)别墅(House)与公寓(Condo)的查询。要求在该设计的基础上,增加一个新的类SemiDetacher(半独立式楼宇),并且编写代码,实现相应的查询功能,详细要求参见光盘的相应作业部分。 2、完成本题目所采用的软件设计模式名称及画出相应的类图,或者是所采用的软件体系结构名称及画出相应的体系结构图。 【作业2.1-1】采用的是工厂方法模式 【作业2.1-2】采用的是抽象方法模式

计算机网络课程设计实验报告

中南大学课程设计报告 课程:计算机网络课程设计 题目:基于Winpcap的网络流量统计分析 指导教师:张伟 目录 第一章总体设计 一、实体类设计 --------P3 二、功能类设计 --------P3 三、界面设计 --------P3

第二章详细设计 一、实体类实现 --------P4 二、功能类实现 --------P4 三、界面实现 --------P5 第三章源代码清单及说明 一、CaptureUtil.java --------P7 二、MyPcapPacketHandler.java --------P9 三、PacketMatch.java --------P9 四、Windows.java --------P13 第四章运行结果 --------P19 第五章心得体会 --------P21 第一章总体设计 一、实体类设计 TCP、UPD、ICMP、ARP、广播数据包五个包的数据结构设计 二、功能类设计 (1)网卡获取 (2)包的抓捕

(3)包的处理 三、界面设计 (1)布局 (2)按钮功能连接 第二章第二章详细设计 一、实体类实现 TCP、UPD、ICMP、ARP、广播数据包五个包的数据结构设计。 本程序采用Java编写,基于win10pcap。Win10pcap是winpcap在win10系统上的适用版本。Java对于winpcap使用jnetpcap进行支持。对于TCP、UPD、ICMP、ARP、广播数据包五种类型的包,在jnetpcap的jar包中大部分已经封装好了相关的实体类型。对应如下:ARP 实体类:https://www.360docs.net/doc/4b12104088.html,work.Arp; UPD 实体类:https://www.360docs.net/doc/4b12104088.html,work.Icmp;

虚拟现实实验报告

虚拟现实实验报告 篇一:虚拟现实技术实验报告 虚拟现实技术实验报告 实验一:Sketch Up软件认识与使用 一、实验目的与要求: 1. 目的 通过本次实验,使学生掌握Sketch Up软件的基本架构,理解利用Sketch Up进行场景制作的基本步骤,能够熟练运用Sketch Up软件的主要功能及相关工具。 2. 要求 每位学生进行Sketch Up软件的安装和配置,操作练习Sketch Up的主要功能及相关工具,理解体会各种操作的执行结果,并独立总结撰写完成实验报告。 二、Sketch Up的主要功能: 边缘和平面:这是绘图最基本的元素 每个 Sketch Up 模型皆由两种元素组成:边缘和平面。边缘是直线,而平面是由几条边缘构成一个平面循环时所形成的平面形状。例如,矩形平面是由四条边缘以直角角度互相连接在一起所构成的。自己可在短时间内学会使用Sketch Up 的简单工具,从而绘制边缘和平面来建立模型。一切就是这么简单容易! 推/拉:从 2D 迅速转为 3D

使用 Sketch Up 专利设计的 [推/拉] 工具,可以将任何平面延伸成立体形状。单击鼠标就可开始延伸,移动鼠标,然后再单击即可停止延伸。自己可以将一个矩形推/拉成一个盒子。或绘制一个楼梯的轮廓并将其推/拉成立体的 3D 形状。想绘制一个窗户吗?只需在墙上推/拉出一个孔即可。Sketch Up 易于使用而广受欢迎,原因就在于其推/拉的功能。 精确测量:以精确度来进行作业处理 Sketch Up 特别适合在 3D 环境中进行迅速的绘图处理,但是它的功能不仅仅只是一只神奇的电子画笔而已。因为当自己在计算机上进行绘图处理时,自己在 Sketch Up 中所建立的一切对象都具有精确的尺寸。当自己准备好要建立模型时,自己可以随意根据自己想要的精确度来进行模型的建立。如果自己愿意,自己可以将模型的比例视图打印出来。如果自己有 Sketch Up Pro,自己甚至还可将自己的几何图形导出到 AutoCAD 和 3ds MAX 等其他程序内。 路径跟随:建立复杂的延伸和板条形状 使用 Sketch Up 创新万能的 [路径跟随] 工具,可以将平面沿预先定义的路径进行延伸以建立 3D 形状。沿 L 形线路延伸一个圆形即可建立一个弯管的模型。绘制瓶子的一半轮廓,然后使用 [路径跟随] 工具沿一个圆形来扫动,就能建立一个瓶子。自己甚至还可以使用 [路径跟随] 工具

吉林大学无机化学研究生化学综合设计实验报告--全

化学综合和设计实验 实验报告 姓名:李玲云 学号:2014332036 专业:无机化学

扫描电子显微镜和EDS能谱演示实验 一、实验目的 1、初步了解扫描电子显微镜的工作原理、基本构造、操作及用途 2、掌握样品的制备方法 二、扫描电子显微镜的工作原理及用途 从电子枪阴极发出的直径20cm~30cm的电子束,受到阴阳极之间加速电压的作用,射向镜筒,经过聚光镜及物镜的会聚作用,缩小成直径约几毫微米的电子探针。在物镜上部的扫描线圈的作用下,电子探针在样品表面作光栅状扫描并且激发出多种电子信号。这些电子信号被相应的检测器检测,经过放大、转换,变成电压信号,最后被送到显像管的栅极上并且调制显像管的亮度。显像管中的电子束在荧光屏上也作光栅状扫描,并且这种扫描运动与样品表面的电子束的扫描运动严格同步,这样即获得衬度与所接收信号强度相对应的扫描电子像,这种图象反映了样品表面的形貌特征。第二节扫描电镜生物样品制备技术大多数生物样品都含有水分,而且比较柔软,因此,在进行扫描电镜观察前,要对样品作相应的处理。扫描电镜样品制备的主要要求是:尽可能使样品的表面结构保存好,没有变形和污染,样品干燥并且有良好导电性能。 在高压(2~20kV)的作用下,利用聚焦得到非常细的高能电子束,使其在试样上扫描(电子束与试样表层物质相互作用),激发出背散射电子、二次电子等信息,通过对上述信息的接收、放大和显示

成像,对试样表面进行分析。 根据量子力学理论,物质中存在着隧道现象,电子可以通过隧道穿过一个能级高度大于其总能量的势垒而出现在势垒的另一侧。因此,物质的表面电子可以借助隧道作用散逸出来,在物质表面附近形成电子云。在导体表面电子云中某位置的电子几率密度,会随着此位置与表面距离的增大而以指数形式迅速衰减。 扫描电子显微镜被广泛应用于材料科学、生物医学、信息产业、地质、石油化工和其它相关学科领域。是在微观尺度范围内,对样品的形貌进行观察、分析和测量的工具。现在的扫描电子显微镜,在配备相应附件后,可以获得试样表面的化学成分,晶体缺陷、电势、磁场及晶体取向等信息,是对固体物质表层进行综合分析的仪器。 吉林大学无机合成与制备化学国家重点实验室拥有场发射扫描电子显微镜。该显微镜通过接收二次电子信息来对样品表面形貌进行分析。显微镜的扫描倍数从25到650000倍,最大分辨率可达到1nm。显微镜有Oxford的能谱附件,可以进行样品的能谱测试。该显微镜不能对具有较强磁性的物质进行分析。 三、扫描电子显微镜的构造 1、电子光学系统(镜筒) 电子枪、三个电磁透镜、扫描线圈、试样室 电子枪中的灯丝产生高能电子束,电子枪的引出电压直接反映了灯丝状态的好坏(5kV~8kV不等)。每次实验都必须注意并记录电子枪引出电压。

设计模式实验报告

计算机科学与技术学院 实验报告 课程名称:软件设计模式 专业:计算机科学与技术班级:班 学号: 姓名:

实验一单例模式的应用 1 实验目的 1) 掌握单例模式(Singleton)的特点 2) 分析具体问题,使用单例模式进行设计。 2 实验内容和要求 很多应用项目都有配置文件,这些配置文件里面定义一些应用需要的参数数据。 通常客户端使用这个类是通过new一个AppConfig的实例来得到一个操作配置文件内容的对象。如果在系统运行中,有很多地方都需要使用配置文件的内容,系统中会同时存在多份配置文件的内容,这会严重浪费内存资源。 事实上,对于AppConfig类,在运行期间,只需要一个对象实例就够了。那么应该怎么实现呢?用C#控制台应用程序实现该单例模式。绘制该模式的UML图。 [代码截图]: namespace实验一_单例模式_ { class Program { static void Main(string[] args) { AppConfig appc1 = AppConfig.GetAppConfig(); AppConfig appc2 = AppConfig.GetAppConfig(); appc1.SetParameterA("hello"); appc2.SetParameterA("hi"); if (appc1.Equals(appc2)){ Console.WriteLine("appc1 和 appc2 代表的是同一个实例"); } else{ Console.WriteLine("appc1 和 appc2 代表的是不同实例"); } Console.WriteLine(appc1.GetParameterA()); Console.WriteLine(appc2.GetParameterA()); Console.ReadKey();

实验报告

五尧乡生态乡镇建设规划 目录 1.总论 1.1任务的由来 (2) 1.2编制的依据 (2) 1.3规划指导思想 (2) 1.4规划原则 (2) 1.5规划年限 (3) 1.6规划目标 (3) 2.五尧乡基本状况 (4) 2.1五尧乡自然地理状况 (4) 2.2五尧乡社会经济状况 (4) 3.城镇规划 (4) 4.生态环境规划 (5) 4.1生态资源状况 (5) 4.2环境质量现状 (6) 4.3生态环境问题 (6) 4.4生态环境保护规划 (7) 5.效益分析 (9) 5.1生态效益 (9) 5.2经济效益 (9) 5.3社会效益 (9) 6.规划实施的保障措施 (9) 6.1政策法规保障体系 (10) 6.2组织机构与管理保障体系 (10) 6.3文化教育和社会监督体系 (11) 6.4资金筹措与投资保障体系 (11) 6.5实施手段与技术保障体系 (12) 6.6决策支持信息系统体系 (12)

1.总论 1.1任务的由来 随着经济的迅速发展,以及城市的逐渐扩张,我国进入了一个中国城市化和城市高速发展的关键时期。而小城镇的发展在我国城市化进程中,正在发挥着越来越重要的作用。自1998 年党的十五届三中全会确定了“小城镇,大战略”的方针后,党的十六大又进一步把“加快城镇化进程,全面建设小康社会,走中国特色的城镇化道路”作为战略目标。“建立和谐社会,达到全社会的和谐发展”,是党的十六大报告提出的一个新的重要思想。党的十六届四中全会明确提出构建社会主义和谐社会的新命题,进一步深化和拓展了“社会更加和谐”这一思想。加快统筹城乡发展的步伐,解决“三农”问题,切实保护广大农民的利益是构建社会主义和谐社会的一个重要方面,而加快发展小城镇则是统筹城乡、解决“三农问题”、构建和谐社会的关键之一。积极有序地发展小城镇,不仅是加快城市化进程的需要,而且已成为我国国家发展战略的重要组成部分。 1.2编制的依据 城市规划5个阶段,如果按编制规划的话可以说是:纲要、总体规划(城镇体系规划)、分区规划,修建性详细规划,控制性详细规划。 编制这5个阶段的规划的依据个不一样。当然首先都是以国家颁布实施的法律法规、方针政策为依据,城镇总体规划主要有: ⑴《中华人民共和国城乡规划法》 ⑵《中共中央国务院关于促进小城镇健康发展的若干意见》 ⑶《全国生态环境保护纲要》 ⑷《国民经济和社会发展纲要》 ⑸《国家环境保护“十二五”规划》 ⑹《河北省建制镇总体规划编制导则》 ⑺《保定市志》 ⑻《保定市城市总体规划(2008—2020年)》 ⑼《保定市土地利用总体规划(2010-2020)》 ⑽《五尧乡国民经济统计资料及城建资料》 ⑾《五尧乡各类专业部门提供的规划基础资料》 1.3规划指导思想 本规划以生态化、集约化、市场化为理念,坚持突出五尧乡特色的原则,城乡经济和空间布局一体化发展的原则,土地使用集约化原则,规划弹性灵活的原则和建设精品化的原则。充分发挥城镇规划对城镇发展建设的战略性、前瞻性、综合性指导作用。 贯彻可持续发展战略,坚持环境与发展综合决策,努力解决小城镇建设与发展中的生态环境问题;坚持以人为本,以创造良好的人居环境为中心,加强城镇生态环境综合整治,努力改善城镇生态环境质量,实现经济发展与环境保护“双赢”。 1.4规划原则 ⑴区域协同发展的原则 融入区域环境,实现持续发展。五尧乡的发展必须在区域的框架内明确自身定位,发挥自身优势,实现快速发展。从广域范围内分析五尧乡的发展,增强规划的区域观念和整体竞争力,积极融入保定市新一轮发展之中,谋求以大区域为背景的城镇整体发展。

相关文档
最新文档